AHB总线协议.doc_第1页
AHB总线协议.doc_第2页
AHB总线协议.doc_第3页
AHB总线协议.doc_第4页
免费预览已结束,剩余1页可下载查看

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

.AHB总线协议 1. 简介 AHB总线规范是AMBA总线规范的一部分,AMBA总线规范是ARM公司提出的总线规范,被大多数SoC设计采用,它规定了AHB (Advanced High-performance Bus)、ASB (Advanced System Bus)、APB (Advanced Peripheral Bus)。AHB用于高性能、高时钟频率的系统结构,典型的应用如ARM核与系统内部的高速RAM、NAND FLASH、DMA、Bridge的连接。APB用于连接外部设备,对性能要求不高,而考虑低功耗问题。ASB是AHB的一种替代方案。1.1 AHB总线的架构 AHB总线的强大之处在于它可以将微控制器(CPU)、高带宽的片上RAM、高带宽的外部存储器接口、DMA总线master、各种拥有AHB接口的控制器等等连接起来构成一个独立的完整的SOC系统,不仅如此,还可以通过AHB-APB桥来连接APB总线系统。AHB可以成为一个完整独立的SOC芯片的骨架。 下图是一个典型的AHB系统总线的结构示意图1.2 AHB基本特性Burst传输Split事务处理单周期master移交单一时钟沿操作无三态更宽的数据总线配置(64/128)流水线操作可支持多个总线主设备(最多16个)2. AHB总线的组成 AHB总线由Master、Slave和Infrastructure构成。Infrastructure由arbiter、数据多路、地址控制多路、译码器构成。主设备Master发起一次读/写操作某一时刻只允许一个主设备使用总线从设备Slave响应一次读/写操作通过地址映射来选择使用哪一个从设备仲裁器arbiter允许某一个主设备控制总线译码器decoder通过地址译码决定选择哪一个从设备总线可以分为三组 写数据总线(HWDATA) 读数据总线(HRDATA) 地址控制总线(HADDR)3. 信号描述NameSourceToDescriptionHCLKclock source各module总线时钟,上升沿采样HRESETnreset controller各module总线复位,低电平有效HADDR31:0Masterdecodermux to slavearbiter32位系统地址总线HTRANS1:0Mastermux to slave当前传输类型NONSEQ, SEQ, IDLE, BUSYHWRITEMastermux to slave1为写,0为读HSIZE2:0Mastermux to slave每一个transfer传输的数据大小,以字节为单位,最高支持1024位HBURST2:0Mastermux to slaveburst类型,支持4、8、16 burst,incrementing/wrappingHPROT3:0Mastermux to slave保护控制信号,需要slave带保护功能,一般不用HWDATA31:0Mastermux to slave写数据总线,Master到SlaveHRDATA31:0Slavemux to master读数据总线,Slave到MasterHREADYSlavemux to masterarbiter高:Slave指出传输结束低:Slave需延长传输周期HRESP1:0Slavemux to masterarbiterSlave发给Master的总线

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论