数字信号发生器的设计毕业论文_第1页
数字信号发生器的设计毕业论文_第2页
数字信号发生器的设计毕业论文_第3页
数字信号发生器的设计毕业论文_第4页
数字信号发生器的设计毕业论文_第5页
已阅读5页,还剩38页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

河北工程大学 机电学院毕业设计 编 号: 审定成绩: 河北工程大学机电 学院毕业设计(论文) 设计(论文)题目: 数字信号发生器的设计 单 位(系别) : 机械设计制造及其 自动化 学 生 姓 名 : 蒲永林 专 业 : 矿山机械 班 级 : 机制 0907 班 学 号 : 090200717 指 导 教 师 : 陈亚宇 答辩组 负责人 : 填表时间: 2013 年 5 月 河北工程大学机电学院 教务处制河北工程大学 机电学院毕业设计 - - I 河北工程大学机电 学院 毕业设计 (论文 )任务书 设计 (论文 )题目 数 字 信 号 发 生 器 的 设 计 学生姓名 蒲永林 学院 机电学院 专业 机制矿机 年级 09 班别 09 机制 7 班 指导教师 陈亚宇 职称 下达任务日期 年 月 日 主 要 研 究 内 容 、 方 法 和 要 求 研究内容: 设计一种数字信号发生器,能够实现显示输出波形,即正弦波、方波、三角波而且还能通过键盘来控制三种波形的类型选择、频率变化,最终显示各自的类型以及数值 研究方法: 利用 AT89S52 单片机采用程序设计方法产生弦波、方波、三角波三种波形,再通过 D/A 转换器 DAC0832 将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来 ,外加一个数据 储存器 RAM62256 设计要求: 调试所设计的系统程序,能够实现系统设计基本要求。所设计的系统具有一定的实用性。 计 划 进 度 2012.03.202012.04.29:查阅相关资料,熟悉论文题目相关知识; 2012.03.292008.04.15:确定系统设计方案以及画出电路图等; 2012.04.162012.5 月末 :完成毕业设计 主 要 参 考 文 献 1 戴仙金主编 51 单片机及其 C 语言汇编程序开发实例 清华大学出版社, 2008 2 高吉祥主 编 全国大学生电子设计竞赛培训系列教程 电子工业出版社, 2007 3杨素行主编 模拟电子技术基础简明教程 高等教育出版社, 2007 4蒋辉平主编 单片机原理与应用设计 北京航空航天大学出版社 2007 5赵晓安 . MCS-51 单片机原理及应用 M. 天津:天津大学出版社, 2001.3 指导教师签字 _ _年 _月 _日 教学部主任签字 _ _年 _月 _日 备注:此任务书由指导教师填写,并于毕业设计 (论文 )开始前下达给学生。可加附页。 河北工程大学 机电学院毕业设计 - - II 摘 要 波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号 (各种波形 ),然后用其它仪表测量感兴趣的参数。可见信号源在各种实验应用和试验测试处理中,它的应用非常广泛。它不是测量仪器,而是根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。 目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的 产业。就目前国内的成熟产品来看,多为一些 PC仪器插卡,独立的仪器和 VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。 本系统利用单片机 AT89S52 采用程序设计方法产生锯齿波、正弦波、矩形波三种波形,再通过 D/A 转换器 DAC0832 将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,能产波形。通过键盘来控制三种波形的类型选择、频率变化,并通过液晶屏 1602 显示其各自的类型以及数值,系统大致包括信号发生部分、数 /模转换部分以及 液晶显示部分三部分。 【关键词】 信号发生器 锯齿波 方形波 矩形波 单片机 河北工程大学 机电学院毕业设计 - - III ABSTRACT Waveform generator signal source is a circuit under test, mainly to provide the required known signal ( a variety of waveforms ), then with other instrument measuring the parameters of interest. Visible signal source in a variety of experimental and test processing, its application is very broad. It is not a measurement instrument, but according to the users requirements, as the excitation source, simulation test signal, is provided to the circuit to be tested, to meet the needs of measuring or various practical needs At present our country has already started the development of a waveform generator, and achieved gratifying results. But on the whole, our country has not form real waveform generator industry. On the current domestic mature products, a number of PC equipment card, independent of the instrument and VXI system modules rarely, and our current waveform generator types and properties are associated with similar foreign products in the larger gap, so step up to this kind of product development is imminent. This system uses single chip microcomputer AT89S52 using program design method for generating sawtooth, sine wave, square wave three waveform, then through D / A converter DAC0832converts digital signals into analog signals, filtering and amplifying, and ultimately by the oscilloscope display, can produce the waveform. Through the keyboard to control the three waveform selection, frequency change, and through the1602 LCD display their respective types and values, system generally includes a signal generating part, a D /A conversion part and a liquid crystal display part three part. 【 Key words】 Signal generator square wave sawtooth wave rectangular wave single chip microcomputer 河北工程大学 机电学院毕业设计 - - IV 目 录 前 言 . 1 第一章 数字信号发生器的介绍 . 2 第一节 数字信号发生器的定义 . 2 第二节 研究数字信号发生器的目的及其发展 . 7 第二章 波形的概述 . 9 第一节 矩形波 . 9 第二节 三角波 . 10 第三节 正弦波 . 10 第四节 波形的产生 . 11 第三章 方案的设计 . 14 第一节 信号发生电路的设计 . 14 第二节 单片机的选择 . 14 第三节 显示方案 . 15 第四节 输入方案 . 15 第四章 芯片的介绍 . 17 第一节 AT89S52 . 17 第二节 DAC0832 . 21 第三节 LCD1602 . 24 第四节 RAM62256. 26 第五章 系统的设计 . 28 第一节 硬件的设计 . 28 第二节 软件的设计 . 34 结 论 . 36 致 谢 . 37 参考文献 . 38 河北工程大学 机电学院毕业设计 - - 1 前 言 不论是在生产还是在科研与教学上,信号发生器都是电子工程师仿真实验的最佳工具。随着我国经济和科技的发展,对相应的测试仪器和测试手段也提出了更高的要求,信号发生器己成为测试仪器中至关重要的一类,因此开发信号发生器具有重大意义。传统的信号发生器采用专用芯片,成本高,控制方式不灵活。现在很多设计利用单片机灵活的控制、丰富的外设处理能力,实现频率、幅值可调的函数波形的输出,同时可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性 1。 河北工程大学 机电学院毕业设计 - - 2 第一章 数字信号发生器的介绍 第一节 数字信号发生器的定义 定义 数字信号指 幅度 的取值是离散的,幅值表示被限制在有限个数值之内。二进制码就是一种数字信号。二进制码受 噪声 的影响小,易于有数字电路进行处理,所以得到了广泛的应用。 凡是产生测试 信号 的仪器,统 称信号源 也 称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。在测试、 研究 或调整电子 电路及设备时,为测定电路的一些电参量,如测量频率响应、 噪声系数 ,为 电压表 定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的 激励信号 。当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复 周期 已知的矩形脉冲源。并且要求信号源输出信号的参数,如 频率 、 波形 、输出电压或 功率 等,能在一定范围内进行精确调整,有很好的稳定性,有输出指示。 应用 数字信号发生器是电子电路设计、自动控制系统和仪表测量校正调试中应 用很多的一种信号发生装置和 信号源。广泛地应用在电子技术试验、自动控制系统和通信、仪器仪表、控制等领域的信号处理系统中及其他机械、电声、水声及生物等科研领域。 信号发生器又称信号源或 振荡器 ,在生产实践和科技领域中有着广泛的应用。各种波形 曲线 均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能 够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、 核磁共振河北工程大学 机电学院毕业设计 - - 3 成像 等,都需要功率或大或小、频率或高或低的振荡器 2。 数字信号的特点: ( 1) 抗干扰能力强、无噪声积累。在模拟通信中,为了提高信噪比,需要在信号传输过程中及时对衰减的传输信号进行放大,信号在传输过程中不可避免地叠加上的噪声也被同时放大。随着传输距离的增加,噪声累积越来越多,以致使传输质量严重恶化。对于数字通信,由于数字信号的幅值为有限个离散值(通常取两个幅值),在传输过程中虽然也受到噪声的干扰,但当信噪 比恶化到一定程度时,即在适当的距离采用判决再生的方法,再生成没有噪声干扰的和原发送端一样的数字信号,所以可实现长距离高质量的传输 3。 ( 2) 便于加密处理。信息传输的安全性和保密性越来越重要,数字通信的加密处理的比模拟通信容易得多,以话音信号为例,经过数字变换后的信号可用简单的数字逻辑运算进行加密、解密处理。 ( 3) 便于存储、处理和交换。数字通信的信号形式和计算机所用信号一致,都是二进制代码,因此便于与计算机联网,也便于用计算机对数字信号进行存储、处理和交换,可使通信网的管理、维护实现自动化、智能化。 ( 4) 设备便于集成化、微型化。数字通信采用时分多路复用,不需要体积较大的滤波器。设备中大部分电路是数字电路,可用大规模和超大规模集成电路实现,因此体积小、功耗低。 ( 5) 便于构成综合数字网和综合业务数字网。采用数字传输方式,可以通过程控数字交换设备进行数字交换,以实现传输和交换的综合。另外,电话业务和各种非话业务都可以实现数字化,构成综合业务数字网。 ( 6) 占用信道频带较宽。一路模拟电话的频带为 4kHz 带宽,一路数字电河北工程大学 机电学院毕业设计 - - 4 话约占 64kHz,这是模拟通信目前仍有生命力的主要原因。随着宽频带信道(光缆、 数字微波)的大量利用(一对光缆可开通几千路电话)以及数字信号处理技术的发展(可将一路数字电话的数码率由 64kb/s 压缩到 32kb/s 甚至更低的数码率),数字电话的带宽问题已不是主要问题了。 以上介绍可知,数字 信号 具有很多优点,所以各国都在积极发展数字通信。近年来,我国数字通信得到迅速发展,正朝着高速化、智能化、宽带化和综合化方向迈进。 信号发生器的分类 现目前信号发生器的种类很多,以下就是详细介绍 按频率范围分类: 1.低频信号发生器: 包括 音频 ( 200 20000 赫)和视频( 1 赫 10 兆赫)范围的 正弦波发生器。主振级一般用 RC 式振荡器,也可用差频振荡器。为便于测试系统的频率特性,要求输出幅频特性平和波形失真小。 2. 高频信号发生器: 频率为 100 千赫 30 兆赫的高频、 30 300 兆赫的甚高频信号发生器。一般采用 LC 调谐式振荡器,频率可由调谐电容器的度盘刻度读出。主要用途是测量各种接收机的技术指标。输出信号可用内部或外加的低频正弦信号调幅或调频,使输出载频电压能够衰减到 1 微伏以下。输出信号电平能准确读数,所加的调幅度或频偏也能用电表读出。此外,仪器还有防止信号泄漏的良好屏蔽。 按输出波 形分类: 1. 正弦信号发生器: 正弦信号主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。正弦信号发生器主要由两部分组成:正弦波信号发生器和产生调幅、调频、键控信号。正弦波信号发生器采用直接数字频率合成 DDS技术,在 CPLD上实现正弦信号查找表和地址扫描,经 D/A输出可得到正弦信号。具有频率稳定度高,频率范围宽,容易实现频率步进 100 Hz。全数字化结构便于集成,输出相位连续,频率、相位和幅度均可实现程控。 河北工程大学 机电学院毕业设计 - - 5 2. 函数 信号 发生器: 又称波形发生器。它能产生某些特定的周期性时间函数波形(主要是正弦波 、方波、三角波、锯齿波和脉冲波等)信号。频率范围可从几毫赫甚至几微赫的超低频直到几十兆赫。除供通信、仪表和自动控制系统测试用外,还广泛用于其他非电测量领域。下为产生上述波形的方法之一,将积分电路与某种带有回滞特性的阈值开关电路(如施密特触发器)相连成环路,积分器能将方波积分成三角波。施米特电路又能使三角波上升到某一阈值或下降到另一阈值时发生跃变而形成方波,频率除能随积分器中的 RC 值 的变化而改变外,还能用外加电压控制两个阈值而改变。将三角波另行加到由很多不同偏置二极管组成的整形网络,形成许多不同斜度的折线段 ,便可形成正弦波。 另一种构成方式是用频率合成器产生正弦波,再对它多次放大、削波而形成方波,再将方波积分成三角波和正、负斜率的锯齿波等。对这些函数发生器的频率都可电 控、程控、锁定和扫频,仪器除工作于连续波状态外,还能按键控、门控等方式工作。 3.脉冲信号发生器: 产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。脉冲发生器主要由主控振荡器、延时级、脉冲形成级、输出级和衰减器等组成。主控振荡器通常为多谐振荡器之类的电路,除 能自激振荡外,主要按触发方式工作。通常在外加触发信号之后首先输出一个前置触发脉冲,以便提前触发示波器等观测仪器,然后再经过一段可调节的延迟时间才输出主信号脉冲,其宽度可以调节。有的能输出成对的主脉冲,有的能分两路分别输出不同延迟的主脉冲。 4.噪声信号发生器: 完全随机性信号是在工作频带内具有均匀频谱的白噪声。常用的白噪声发生器主要有:工作于 1000 兆赫以下同轴线系统的饱和二极管式白噪声发生器;用于微波波导系统的气体放电管式白噪声发生器;利用晶体二极管反向电流中噪声的固态噪声源(可工作在 18 吉赫以下整个频 段内)等。噪声发生器输出的强度必须已知,通常用其输出噪声功率超过电阻热噪声的分贝数(称为超噪比)或用其噪声温度来表示。噪声信号发生器主要用途是: 在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统的性能;河北工程大学 机电学院毕业设计 - - 6 外加一个已知噪声信号与系统内部噪声相比较以测定噪声系数; 用随机信号代替正弦或脉冲信号,以测试系统的动态特性。例如,用白噪声作为输入信号而测出网络的输出信号与输入信号的互相关函数,便可得到这一网络的冲激响应函数。 按 频率改变的方式 : 1.扫频和程控信号发生器 :扫频信号发生器能够产 生幅度恒定、频率在限定范围内作线 性变化的信号。在高频和甚高频段用低频扫描电压或电流控制振荡回路元件(如变容管或磁芯线圈)来实现扫频振荡;在微波段早期采用电压调谐扫频,用改变返波 管螺旋线电极的直流电压来改变振荡频率,后来广泛采用磁调谐扫频,以 YIG 铁氧体小球作微波固体振荡器的调谐回路,用扫描电流控制直流磁场改变小球的谐振 频率。扫频信号发生器有自动扫频、手控、程控和远控等工作方式。 2.频率合成式信号发生器 :这种发生器的信号不是由振荡器直接产生,而是以高稳定度石英振荡器作为标准频率源,利用频率合成技术形 成所需之任意频率的信号,具有与标准频率源相同的频率准确度和稳定度。输出信号频率通常可按十进位数字选择,最高能达 11 位数字的极高分辨率。频率除用手动选择外还可程控和远控,也可进行步级式扫频,适用于自动测试系统。 直接式频率合成器由晶体振荡、加法、乘法、滤波和放大等电路组成,变换频率迅速但电路复杂,最高输出频率只能达 1000 兆赫左右。用得较多的间接式频率合 成器是利用标准频率源通过锁相环控制电调谐振荡器(在环路中同时能实现倍频、分频和混频),使之产生并输出各种所需频率的信号。这种合成器的最高频率可达 26.5 吉 赫。高稳定度和高分辨力的频率合成器,配上多种调制功能(调幅、调频和调相),加上放大、稳幅和衰减等电路,便构成一种新型的高性能、可程控的 合成式信号发生器,还可作为锁相式扫频发生器 4。 除了上述发生器外还有 伪随机信号发生器 , 微波信号发生器 等 河北工程大学 机电学院毕业设计 - - 7 第二节 研究数字信号发生器的目的及 其发展 信号发生器也称信号源,是用来产生振荡信号的一种仪器,为使用者提供需要的稳定、可信的参考信号,并且信号的特征参数完全可控。所谓可控信号特征,主要是指输出信号的频率、幅度、波形、占空比、 调制形式等参数都可以人为地控制设定。随着科技的发展,实际应用到的信号形式越来越多,越来越复杂,频率也越来越高,所以信号发生器的种类也越来越多,同时信号发生器的电路结构形式也不断向着智能化、软件化、可编程化发展。 信号发生器所产生的信号在电路中常常用来代替前端电路的实际信号,为后端电路提供一个理想信号。由于信号源信号的特征参数均可人为设定,所以可以方便地模拟各种情况下不同特性的信号,对于产品研发和电路实验特别有用。在电路测试中,我们可以通过测量、对比输入和输出信号,来判断信号处理电路的功能和特性是否达到设计要求 。例如,用信号发生器产生一个频率为 1kHz的正弦波信号 ,输入到一个被测的信号处理电路 (功能为正弦波输入、方波输出 ),在被测电路输出端可以用示波器检验是否有符合设计要求的方波输出。高精度的信号发生器在计量和校准领域也可以作为标准信号源 (参考源 ),待校准仪器以参考源为标准进行调校。由此可看出,信号发生器可广泛应用在电子研发、维修、测量、校准等领域 5。 目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些 PC仪器插卡,独立的仪器 和 VXI系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。函数波形发生器发展很快近几年来,国际上波形发生器技术发展主要体现在以下几个方面: ( 1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。波形发生器软件的开发正使波形数据的输入变得更加方便和容易。波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简 单的公式复合成 v=f(t)形式的波形方程的数学河北工程大学 机电学院毕业设计 - - 8 表达式产生。从而促进了波形发生器向任意波形发生器的发展,各种计算机语言的飞速发展也对任意波形发生器软件技术起到了推动作用。目前可以利用可视化编程语言 (如 Visual Basic, Visual C等等 )编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。 ( 2)与 VXI资源结合。目前,波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的 VXI模块。由于 VXI总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用 VXI系 统测量产生复杂的波形, VXI的系统资源提供了明显的优越性,但由于开发 VXI模块的周期长,而且需要专门的 VXI机箱的配套使用,使得波形发生器 VXI模块仅限于航空、军事及国防等大型领域。在民用方面, VXI模块远远不如台式仪器更为方便。 ( 3)随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。不过现在新的台式仪器的形态,和几年前的己有很大的不同。这些新一代台式仪器具有多种特性,可以执行多种功能。而且外形尺寸与价格,都比过去的类似产品减少了一半。 河北工程大学 机电学院毕业设计 - - 9 第二章 波形的概述 第一节 矩形波 矩形波被广泛用于数字开 关电路, 矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分;因为产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈;因为输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间 6。 方波函数是一种常用的波形函数,其表达式为 : (2-1) 方波的波形如图 2-1 所示 : 图 2.1 方波波形 河北工程大学 机电学院毕业设计 - - 10 第二节 三角波 三角波也叫锯齿波 ,主要用在 CRT 作显示器件的扫描电路中 .如示波器 ,显像管 ,显示器等 .CRT 是由许多点组成的 .要形成光栅就要有电子束轰击这些发光点 .扫描电路分水平和垂直扫描两种 .可以一行或一帧的对 CRT 进行扫描 .电子束从第一行或帧的一端开始扫到另一端 ,马上返回扫第二行或第二帧,三角波的特点是电压渐渐增大突然降到零,正好适合用于扫描电路中 8。 三角波波形如图 2.2所示: 图 2.2 三角波形 第三节 正弦波 正弦波即是频率成分最为单一的一种信号 ,因这种信号的波形是数学上的正弦曲线而得名。任何复杂信号 例如音乐信号,都可以看成由许许多多频率不同、大小不等的正弦波符合而成。我们可以设一个函数为 y=sin X,当 X 分别取 0、 30、 60、 90、 120、 150、 180 时, Y 数值分别为 0、 0.5、 0.8660、 1、 0.8660、0.5、 0。在坐标系中画出对 应的点就可以得出正弦波的图像了。该图像有一个特点,就是周期性变化,例如 X = 0 时, Y = 0, X = 180 时, Y = 0;若 X 取值【 180360】,则我们可以看到,图像正好与原来的相反(在第四象限)。这就是正弦波的图像了。正弦波是所有波中最普遍常见的波形,也是最容易生成的波形。任何复杂信号都可以看成由许许多多频率不同、大小不等的正弦波复合而成。可河北工程大学 机电学院毕业设计 - - 11 以说是所有波形的基础。正弦波一般与函数信号发生器有关,与数控也有关 7。 正弦信号与余弦信号,两者只是在相位上相差 2,可以统称为正弦信号。 其 一般形式为: f (t)=A sin(t+ ) (2-2) 式中, A 为振幅, 是角频率, 为初相位。上述三量是正弦信号的三要素。它的波形见图 1。正弦信号是周期信号,其周期 T 与频率 f 及角频率 之间的关系为: (2-3) 正弦波形如图 2.3 所示: 图 2.3正弦波形 第四节 波形的产生 波形发生器可以基于模拟技术,也可以基于数字技术。模拟发生器利用模拟硬件来产生简单的函数,并在需要指定频率的静态正弦波或方波时经常使用。而数字函数发生器采用直接数字综合 DDS, DAC,数字信号处理,以及一个单周期存储缓冲器来产生信号。 DDS技术依赖数字控制的方法,利用单基准时钟频率来实现一个模拟频率源。 DDS能够实现高精度和高分辨率,高温度稳定度,高河北工程大学 机电学院毕业设计 - - 12 宽带,以及随机的和相位连续的频率切换 9。 1.直接模拟法 图 2.4 直接模拟法框图 这是传统函数发生器的简化基本结 构,一般都是由自由振荡器产生原始波形,然后经过转换电路将原始波形转换成其他波形,在上图中三角波是由振荡器产生的,方波是三角波通过比较器转变而成的,正弦波是三角波通过一个波形整形电路(正弦波整形器)演变而来的,所需要波形经过放大和衰减输出,显然这种方式产生的波形种类有限,每增加一种波形,都要增加相应的转换电路,整个电路变得很复杂,最重要的是要产生用户所需要的任意波形复杂的波形几乎不可能 10。 2.直接数字法 直接数字法是采用直接数字合成( Direct Digital Synthesis)的方法实现信号产生。 该技术具有频率转换速度快、频率分辨率高、易于控制的突出特点。直接数字合成技术近年来发展得很快,而要产生任意波形就必须采用直接数字很成技术。随着 DDS技术的发展,出现了各种各样的直接数字合成的结构,但基本上可以发成两种 11: ( 1)基于地址计数器的数字频率合成法 ( 2)基于相位累加器的数字频率合成法 相位累加器 12 : 顾名思意,就是对相位进行线性累加的寄存器。这个词一般在数字频率合成河北工程大学 机电学院毕业设计 - - 13 器( DDS)中出现,因为数字频率合成时,要想输出一个波形(比如正弦波)的话,当然应该输出一个周期内的很多个点才 能得到失真的很小的波形,所以时钟的频率会是输出波形频率的 x 倍。然后时钟每加一,相位就增加 1/x,然后输出查表、计算出的瞬时值,再继续下一时钟。当 x 个周期后,也就输出得到一个完整的正弦波了。而这个计数累加器就是相位累加器 由于直接数字法在设计上的的优点,本课题设计采用的是基于地址计数器的直接数字合成法 。 河北工程大学 机电学院毕业设计 - - 14 第三章 方案的设计 第一节 信号发生电路的设计 方案一:通过单片机控制 D/A,输出三种波形。此方案输出的波形不够稳定,抗干扰能力弱,不易调节。但此方案电路简单、成本低。 方案二:使用传统的锁相 频率合成方法。通过芯片 IC145152,压控振荡器搭接的锁相环电路输出稳定性极好的正弦波,再利用过零比较器转换成方波,积分电路转换成三角波。此方案,电路复杂,干扰因素多,不易实现。 方案三:利用 MAX038 芯片组成的电路输出波形。 MAX038 是精密高频波形产生电路,能够产生准确的三角波、方波和正弦波三种周期性波形。但此方案成本高,程序复杂度高。 结合设计要求、设计条件限制等方面, 以上三种方案综合考虑, 由于方案一更方便,所以 选方案一。 第二节 单片机的选择 方案一: AT89S52 单片机是一种 低功耗、高性能 8 位单片微型计算机。它把构成计算机的中央处理器 CPU、存储器、寄存器、 I/O 接口制作在一块集成电路芯片中,从而构成较为完整的计算机、而且其价格便宜。 方案二: C8051F005 单片机是完全集成的混合信号系统级芯片,具有与 8051兼容的微控制器内核,与 MCS-51 指令集完全兼容。除了具有标准 8052 的数字外设部件,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,而且执行速度快。但其价格较贵 河北工程大学 机电学院毕业设计 - - 15 以上两种方案综合考虑, 由于方案二中 C8051F005 单片机价格明显高于AT89S52,所以 选择方案一。 第三节 显示方案 方案一:采用 LED 数码管。 LED 数码管由 8 个发光二极管组成,每只数码管轮流显示各自的字符。由于人眼具有视觉暂留特性,当每只数码管显示的时间间隔小于 1/16s 时人眼感觉不到闪动,看到的是每只数码管常亮。使用数码管显示编程较易,但要显示内容多,而且数码管不能显示字母。 方案二:采用 LCD 液晶显示器 1602。其功率小,效果明显,显示编程容易控制,可以显示字母。 以上两种方案综合考虑, 由于用 LED 相对要麻烦些,而 1602 编程容易控制,所以本次设计 选择方案二。 第四节 输入方案 主要用于控制波形的转换,波形显示的开始和结束。控制方式有按键控制和开关控制两种。按键较开关而言,操作更加简便,故选按键控制。 方案一:矩阵式键盘。矩阵式键盘的按键触点接于由行、列母线构成的矩阵电路的交叉处。当键盘上没有键闭合时,所有的行和列线都断开,行线都呈高电平。当某一个键闭合时,该键所对应的行线和列线被短路。 方案二:编码式键盘。编码式键盘的按键触点接于 74LS148 芯片。当键盘上 河北工程大学 机电学院毕业设计 - - 16 没有闭合时,所有键都断开,当某一键闭合时,该键对应的编码由 74LS148 输出。 本 次设计所需按键不多,不需要采用复杂编码,考虑硬件条件、线路连接和经济性等方面,选择方案一。 河北工程大学 机电学院毕业设计 - - 17 第四章 芯片的介绍 第一节 AT89S52 AT89S52 引脚图如下所示: 图 4.1 AT89S52 AT89S52 是一种低功耗、高性能 CMOS8 位微控制器,具有 8K 在系统可编程 Flash 存储器。使用 Atmel 公司高密度 非易失性存储器 技术制造,与工业 80C51 产品指令和引脚完全兼容。片上 Flash 允许程序存储器在系统可编程,亦适于 常规编程器。在单芯片上,拥有灵巧的 8 位 CPU 和在系统 可编 程 Flash,使得AT89S52 为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 AT89S52具有以下标准功能: 8k 字节 Flash, 256 字节 RAM, 32 位 I/O 口线,看门狗定河北工程大学 机电学院毕业设计 - - 18 时器, 2 个数据指针,三个 16 位定时器 /计数器,一个 6 向量 2 级中断结构,全双工串行口,片内晶振及时钟电路。另外, AT89S52 可降 0Hz 静态逻辑操作,支持 2 种软件可选择节电模式。空闲模式下, CPU 停止工作,允许 RAM、定时器 /计数器、串口、中断继续工作。掉电保护方式下, RAM 内容被保存,振荡器被冻结,单片机一切工作停止, 直到下一个中断或硬件复位为止 13。 主要性能 1、 与 MCS-51 单片机产品兼容; 2、 8K 字节在系统可编程 Flash 存储器; 3、 1000 次擦写周期; 4、 全静态操作: 0Hz-33MHz; 5、 三级加密程序存储器; 6、 32 个可编程 I/O 口线; 7、 三个 16 位定时器 /计数器; 8、 六个中断源; 9、 全双工 UART 串行通道; 10、 低功耗空闲和掉电模式; 11、 掉电后中断可唤醒; 12、 看门狗定时器; 13、 双数据指针; 14、 掉电标识符 。 引脚说明 P0 口: P0口是一个 8位漏极开路的双向 I/O 口。作为输出口,每位能驱动 8个 TTL 逻 辑电平。对 P0端口写 “1”时,引脚用作高阻抗输入。 当访问外部程序和数据存储器时, P0口也被作为低 8位地址 /数据复用。在这种模式下, P0不具有内部上拉电阻。 在 flash 编程时, P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验 时,需要外部上拉电阻 14。 河北工程大学 机电学院毕业设计 - - 19 P1 口: P1 口是一个具有内部上拉电阻的 8 位双向 I/O 口, p1 输出缓冲器能驱动 4 个 TTL 逻辑电平。对 P1 端口写 “1”时,内部上拉电阻把端口拉高,此时可以作为输入 口使用。 作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流( IIL)。 此外, P1.0和 P1.1分别作定时器 /计数器 2的外部计数输入( P1.0/T2)和定时器 /计数器 2 的触发输入( P1.1/T2EX)。 在 flash 编程和校验时, P1口接收低 8位地址字节。 引脚号第二功能: p1.0 T2(定时器 /计数器 T2的外部计数输入),时钟输出 P1.1 T2EX(定时器 /计数器 T2的捕捉 /重载触发信号和方向控制) P1.5 MOSI(在系统编程用) P1.6 MISO(在系统编程用 ) P1.7 SCK(在系统编程用) P2 口: P2 口是一个具有内部上拉电阻的 8 位双向 I/O 口, P2 输出缓冲器能驱动 4 个 TTL 逻辑电平。对 P2 端口写 “1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流( IIL)。 在访问外部程序存储器或用 16 位地址读取外部数据存储器(例如执行 MOVX DPTR)时, P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送 1。在使用 8 位地址(如 MOVX RI)访问外部数据存储器时 , P2 口输出 P2 锁存器的内容。在 flash 编程和校验时, P2 口也接收高 8 位地址字节和一些控制信号。 P3 口: P3 口是一个具有内部上拉电阻的 8 位双向 I/O 口, p3 输出缓冲器河北工程大学 机电学院毕业设计 - - 20 能驱动 4 个 TTL 逻辑电平。对 P3 端口写 “1”时,内部上拉电阻把端口拉高,此时可以作为输入 口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流( IIL)。 P3 口亦作为 AT89S52 特殊功能(第二功能)使用,如下所示,在 flash 编程和校验时, P3 口也接收一些控制信号。 端口引脚 第二功能 : P3.0 RXD(串行输入口 ) P3.1 TXD(串行输出口 ) P3.2 INTO(外中断 0) P3.3 INT1(外中断 1) P3.4 TO(定时 /计数器 0) P3.5 T1(定时 /计数器 1) P3.6 WR(外部数据存储器写选通 ) P3.7 RD(外部数据存储器读选通 ) 此外, P3 口还接收一些用于 FLASH 闪存编程和程序校验的控制信号。 RST: 复位输入。当振荡器工作时, RST 引 脚出现两个机器周期以上高电平将是单片机复位。 ALE/PROG: 当访问外部程序存储器或数据存储器时, ALE(地址锁存允许)输出脉冲用于锁存地址的低 8 位字节。一般情况下, ALE 仍以时钟振荡频率的 1/6 输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个 ALE 脉冲。对 FLASH 存储器编程期间,该引脚还用于输入编程脉冲( PROG)。如有必要,可通过对特殊功能寄存器( SFR)区中的 8EH 单元的 D0 位置位,可禁止 ALE 操作。该位置位后,只有一条 MOVX 和 MOVC 指令才能将 ALE 激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置 ALE 禁止位无效。 PSEN: 程序储存允许( PSEN)输出是外部程序存储器的读选通信号,当河北工程大学 机电学院毕业设计 - - 21 AT89S52 由外部程序存储器取指令(或数据)时,每个机器周期两次 PSEN 有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次 PSEN 信号。 EA/VPP: 外部访问允许,欲使 CPU 仅访问外部程序存储器(地址为0000H-FFFFH), EA 端必须保持低电平(接地)。需注意的是:如果加密位 LB1被编程,复位时内部会锁存 EA 端状 态。如 EA 端为高电平(接 Vcc 端), CPU则执行内部程序存储器的指令。 FLASH 存储器编程 时,该引脚加上 +12V 的编程允许电源 Vpp,当然这必须是该器件是使用 12V 编程电压 Vpp。 XTAL1: 振荡器反相放大器和内部时钟发生电路的输入端。 XTAL2: 振荡器反相放大器的输出端。 存储器结构: MCS-51 器件有单独的程序存储器和数据存储器。外部程序存储器和数据存储器都可以 64K 寻址。程序存储器:如果 EA 引脚接地,程序读取只从外部存储器开始。对于 AT89S52,如果 EA 接 VCC,程序读写先从 内部存储器(地址为0000H 1FFFH)开始,接着从外部寻址,寻址地址为: 2000HFFFFH。 数据存储器: AT89S52 有 256 字节片内数据存储器。高 128 字节与特殊功能寄存器重叠。也就是说高 128 字节与特殊功能寄存器有相同的地址,而物理上是分开的。当一条指令访问高于 7FH 的地址时,寻址方式决定 CPU 访问高 128 字节 RAM还是特殊功能寄存器空间。直接寻址方式访问特殊功能寄存器( SFR)。 第二节 DAC0832 DAC0832 是 8 分辨率的 D/A 转换集成芯片。与微处理器完全兼容。 这个 DA芯片以其价格低廉、接口简单、转换控制容易等优点,在单片机应用系统中得到河北工程大学 机电学院毕业设计 - - 22 广泛的应用。软件 D/A 转换器由 8 位输入锁存器、 8 位 DAC 寄存器、 8 位 D/A转换电路及转换控制电路构成 15。 DAC0832 引脚图如下: 图 4.2 DAC0832 DAC0832的主要特性参数如下: 1.分辨率为 8位; 2.电流稳定时间 1us; 3.可单缓冲、双缓冲或直接数字输入; 4.只需在满量程下调整其线性度; 5.单一电源供电( +5V +15V); 6.低功耗, 20mW。 DAC0832结构: D0 D7: 8位数据输入线, TTL 电平,有效时间应大于 90ns(否则锁存器的数据会出错 ) ILE: 数据锁存允许控制信号输入线,高电平有效。 CS: 片选信号输入线(选通数据锁存器),低电平有效。 河北工程大学 机电学院毕业设计 - - 23 WR1: 数据锁存器写选通输入线,负脉冲(脉宽应大于 500ns)有效。由 ILE、CS、 WR1的逻辑组合产生 LE1,当 LE1为高电平时,数据锁存器状态随输入数据线变换, LE1的负跳变时将输入数据锁存。 XFER: 数据传输控制信号输入线,低电平有效,负脉冲有效。 WR2: DAC 寄存器选通输入线,负脉冲(脉宽应大于 500ns)有效。由 WR2、XFER 的逻辑组合产生 LE2,当 LE2为高电平时, DAC 寄存器的输出随寄存器的输入而变化, LE2的负跳变时将数据锁存器的内容打入 DAC寄存器并开始 D/A转换。 IOUT1: 电流输出端 1,其值随 DAC 寄存器的内容线性变化。 IOUT2: 电流输出端 2,其值与 IOUT1值之和为一常数。 Rfb: 反馈电阻引出端。 DAC0832内部已经有反馈电阻,所以, RFB 端可以直 接接到外部运算放大器的输出端。相当于将反馈电阻接在运算放大器的输入端和输出端之间 Vcc:电源输入端, Vcc 的范围为 +5V +15V。 VREF:基准电压输入线, VREF 的范围为 -10V +10V。 AGND:模拟信号地 。 DGND:数字信号地。 DAC0832的工作方式: DAC0832进行 D/A 转换,可以采用两种方法对数据进行锁存 第一种方法 是使输入寄存器工作在锁存状态,而 DAC 寄存器工作在直通状态。具体地说,就是使和都为低电平, DAC 寄存器的锁存选通端得不到有效电平而直通;此外,使输入寄存器的控制信号 ILE 处于高电平、 处于低电平,这样,当端来一个负脉冲时,就可以完成 1次转换 。 第二种方法 是使输入寄存器工作在直通状态,而 DAC 寄存器工作在锁存状河北工程大学 机电学院毕业设计 - - 24 态。就是使和为低电平, ILE 为高电平,这样,输入寄存器的锁存选通信号处于无效状态而直通;当 和 端输入 1个负脉冲时,使得 DAC 寄存器工作在锁存状态,提供锁存数据进行转换。 第三节 LCD1602 1602液晶也叫 1602字符型液晶它是一种专门用来显示字母、数字、符号等的点阵型液晶模块它有若干个 5X7或者 5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符。每位之间有一个点距的间隔每行之间也有间隔起到了字符间距和行 间距的作用,正因为如此所以他不能显示图形 16 LCD1602示意图: 图 4.3 LCD1602 河北工程大学 机电学院毕业设计 - - 25 管脚功能 第 1 脚:为电源地 第 2 脚:接 5V 电源正极 第 3 脚: V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高(对比度过高时会 产生 “鬼影 ”,使用时可以通过一个 10K 的电位器调整对比度)。 第 4 脚: RS 为寄存器选择,高电平 1 时选择数据寄存器、低电平 0 时选择指令寄存器。 第 5 脚: RW 为读写信号线,高电平 (1)时进行读操作,低电平 (0)时进行写操作。 第 6 脚: E(或 EN)端为使能 (enable)端。 第 7 14 脚: D0 D7 为 8 位双向数据端。 第 15 16 脚:空脚或背灯电源。 15 脚背光正极, 16 脚背光负极。 河北工程大学 机电学院毕业设计 - - 26 第四节 RAM62256 62256 是 32K的低功耗静态 RAM存储器 . 用 P0和 P2 来扩展外部ram(就是用 P0和 P2与 62256对应的管脚相连接),假设 P2.7接 WR, P2.6接RD, P2.5接 CS,那么就可以确定 个外部 RAM 的一个地址,想往外部 RAM的一个地址写一个字节时,地址可以定为 XBYTE 0x4000,其中 WR, CS为低, RD为高,那就是高位的 4( 0100 也就是 P2.7和 P2.5 输出了低电平,而 P2.6 输出了高电平,目的当然是要选通 62256并且向 62256 写入数据),其它位的可以根据情况自己定 (也就是其它位是什么不打紧,关键就是控制 wr, cs, rd的那几个位要符合选通,读,写的规定就可以了),现在我们向 62256中写个 26 进去就可以使 用这条语句: XBYTE 0x4000 = 26 MCS-51单片机系统扩展时,一般使用 P0口作为地址低 8位(与数据口分时复用),而 P2口作为地址高 8位,它共有 16根地址总线, 寻址空间为 64KB。 62256 引脚功能 A0 A14 地址总线 (Address) D0 /D7 输入 /输出口 (nput/output) CS 端口选择 (Chip select) 河北工程大学 机电学院毕业设计 - - 27 WE 输入始能 (Write enable) OE 输出始能 (Output enable) VCC 电源始能 (Power supply) VSS 接地 (Ground)河北工程大学 机电学院毕业设计 - - 28 第五章 系统的设计 总体思路: 经过考虑,我们确定方案如下:利用 AT89S52 单片机采用程序设计方法产生三角波、正弦波、矩形波三种波形,再通过 D/A 转换器 DAC0832 将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控制三种波形的类型选择、频率变化,最终输出显示其各自的类型以及数值。 下图为总体框图 图 5.1 系统的总体框图 第一节 硬件的设计 总体 原理 系统大致包括 单片机最小系统的设计 、 波形产生模块 、 显示模块的设计 以及 键盘输入模块的设计 15 河北工程大学 机电学院毕业设计 - - 29 总原理图如下: 图 5.2 总原理图 单片机最小系统的设计 硬件的最小系统 由电源 ,主板和 CPU、内存组成 .在这个系统中 ,没有任何信号线的连接 ,只 有电源到主板的电源连接 .在判断的过程中通过声音来判断这一核心组成部分是否可正常工作, AT89S52 是片内有 ROM/EPROM 的单片机,因此,这种芯片构成的最小系统简单可靠。用 AT89S52 单片机构成最小应用系统时,只要将单片机接上时钟电路和复位电路即可,如图 (5.3)AT89S52 单片机最小系统所示。由于集成度的限制,最小应用系统只能用作一些小型的控制单元。其应用特点: (1) 有可供用户使用的大量 I/O 口线。 (2) 内部存储器容量有限且应用系统开发具有特殊性。 最小系统图如下: 河北工程大学 机电学院毕业设计 - - 30 图 5.3 最小系统 波形产生模块 在第三章的第一节就确定本次采用 通过单片机控制 D/A,输出三种波形也就是说 采用编程方法产生三种波形、通过 DA 转换模块 DAC0832 再进过滤波放大之后输出。其电路图如下所示: 河北工程大学 机电学院毕业设计 - - 31 图 5.4 波形 产生 模块 如上图所示,单片机的 P0 口连接 DAC0832 的八位数据输入端, DAC0832的输出端接放大器,经过放大后输出所要的波形。 显示模块的设计 由第三章第三节可知本次用的 LCD1602 液晶显

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论