40纳米3G手机基带芯片的物理实现.pdf_第1页
40纳米3G手机基带芯片的物理实现.pdf_第2页
40纳米3G手机基带芯片的物理实现.pdf_第3页
40纳米3G手机基带芯片的物理实现.pdf_第4页
40纳米3G手机基带芯片的物理实现.pdf_第5页
已阅读5页,还剩83页未读 继续免费阅读

40纳米3G手机基带芯片的物理实现.pdf.pdf 免费下载

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

40 3G Physical design for 3G baseband chip with 40nm technology 10701 TN47 0886960446 U D C 廖球 廖定批球 恢球 恢球 意峰 般累玉 般累玉飞定峰 般累玉 般累玉 封 般累玉 意峰 恢球 廖峰帜峰 恢球 UP献 究英结C D究考A Abstract Along with the development of mobile communication system recently the design of mobile terminals encounters more and more challenges The performance and the cost of the mobile terminals are mainly depended on the baseband chip When the mobile communication system develops from 2G and 2 5G to current 3G the baseband design is also changed This thesis introduces the implementation for the 3 G baseband chip under 40nm technology It mainly discusses the updates of the traditional design flow and design methodology after including the UPF file which is used to describe the low power design flow In this design I research the current low power design methodology and standard define the low power design intent and parameter by using UPF file according to the UPF version 1 0 introduce the UPF flow into traditional logic synthesis flow to insert the related control logic and achieve the low power design research and analyze the multi voltage multi power design issues in netlist do the design rule check analysis and correction based on the design rule requirement to achieve the low power design intent research and analyze the common power network issue in the multi voltage and multi power design find the efficient solution to reduce the static IR drop introduce the UPF flow into Static Timing Analysis flow to improve the accuracy of the timing analysis also research the new flow to speed up the timing closure With my research and analysis in this thesis I analyze the common issues in logic synthesis low power design and timing analysis which are using 40nm design technology I also provide the efficient solution to resolve the issues to make sure the correction of design functionality and requirement The final design results show that we reduce the IC design loops and improve the reliability of our chip by paying much attention on the issues I analyze The 3G baseband chip is already tapeout successfully on 2010 and the 3G smart phones using this chip is already saling in market Keywords UPF Synthesis MVRC STA Static IR drop DMSA 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 帜 帜定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 帜 帜定廖 恢球 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖 帜定恢 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 恢 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 播 廖定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 播 廖定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 帜峰 廖定廖定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 帜峰 廖定廖定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 帜换 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖帜 恢定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖帜 恢定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖帜 恢定恢 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖廖 恢定恢定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖廖 恢定恢定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖恢 恢定意 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖播 恢定意定帜 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖播 恢定意定廖 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖整 恢定意定恢 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖整 恢定意定意 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 廖晖 稿若缩点 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 恢播 意定帜 稿若缩点 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 恢播 意定廖 稿若缩点 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 恢晖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 意恢 批定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 意恢 批定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 意播 批定恢 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批峰 批定恢定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批峰 批定恢定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批批 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批播 换定帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批播 换定廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 批整 换定恢 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换帜 换定恢定帜 般累玉 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换帜 换定恢定廖 然稿背清 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换廖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换批 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换播 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 换晖 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 播帜 定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定定 播恢 1 帜定帜 1 GSM EDGE 3G GSM GSM 3G GSM GSM GPRS 2 5G GPRS GSM GSM GPRS ETSI EDGE Enhanced Data rates for GSM Evolution GPRS EDGE GSM GPRS GSM GPRS EDGE GSM GPRS EGPRS Enhanced GPRS ECSD Enhanced CSD EDGE GPRS GSM EDGE EDGE 3G GSM GPRS 3G 意峰 恢球 2 3rd Generation 3G 3G 3G GSM GSM 3G 3G 2G GSM 2G 3G ASIC SoC 2G 2 5G EDGE 3G 2G 3G 帜定廖 恢球 廖球 廖定批球 恢球 背精点 稿点般境然背累 然背累 稿点般 3 稿点般 然背累 稿点般 然背累 缩清稿 背缩清稿 恢球 球背稿封球累缩背 营点然稿清 2 恢球 廖球 封 恢球 恢球 恢球 恢球 恢球 恢球 恢球 晖峰 换批 意峰 意峰 帜定恢 的燕燕燕帜整峰帜 般累玉 飞定峰 意峰 恢球 薄姓球精神然 换廖换 的点 意峰 恢球 4 薄姓球精神然 换廖换 恢球 意峰 背精点 恢球 电背累清境 薄姓球精神然 换廖换 背稿清缩脑门 般燕廖 换批 点稿精背 背稿清缩脑门 般燕廖 薄姓球精神然 换廖换 累点潜 换峰峰 累点 薄姓球精神然 换廖换 廖球 恢球 清缩稿帜帜 薄稿稿 换廖换峰 恢球累累 缩金飞播 电背累清境 廖帜 稿转 s 点身t金钟鲁ry 帜意 帜帜定批 稿转 s 点身t金钟鲁ry 播 缩金飞金身s金 播 点累点 般累玉 飞定峰 封 售稿若封稿背噪 售然若玉背噪 售累鲁w金r 球身t门高钟噪 缩脑神 般累玉 般累玉 封 般累玉 果 恢球 5 般累玉 般累玉 般累玉 般累玉 稿若缩点 意峰 恢球 妨 般累玉 意峰 恢球 6 7 廖定帜 若神背的 若神背的 若神背的 廖定帜 3 若神背的 2 1 意峰 恢球 8 缩脑神 缩脑神 Synopsys Design Compiler Magma Blast Create Design Compiler 售 噪 VLSI Antenna DRC LVS 廖定廖 售Floorplan噪 售Placement噪 CTS 售Routing噪 DRC LVS 9 的点 Standard Cell Netlist Tech Files SDC Data Prepare Floorplanning Placement CTS Routing DRC LVS RC Post layout Simulation Tapeout Package Test 2 2 缩脑神 缩脑神 售GDS噪 售帜噪 缩脑神 售廖噪 售pre layout netlist噪 售恢噪 售Global Routing噪 售意噪 售post layout netlist噪 售pre layoutnetlist噪 售批噪 STA Static Timing Analysis噪 意峰 恢球 10 售换噪 LVS DRC 售tape out噪 换批封意批封意峰高驱 意峰高驱 MVRC 廖定廖 EDA CMOS CMOS 4 5 廖定廖定帜 SoC RTL 11 clock gating 售Multi voltage threshold噪 售Multi supply and Multi voltage power switch dynamic voltage and frequency scaling 6 售飞噪 果 Synopsys Power Compiler RTL 廖定恢 Synopsys Design Compiler Power Compiler Power Compile 妨 clk 妨 enable clk 妨 enable clk en 妨 廖定意 廖定恢 enable 妨 MUX 妨 妨 售廖噪 果 7 售恢噪 果 意峰 恢球 12 2 3 2 4 意 CMOS 批峰列 批峰列 播批列 CMOS 整峰 TTL 批 v 帜v 批 妨 13 8 售Power Isolation Cell噪 廖定批 2 5 售Level Shifter噪 廖定换 2 6 意峰 恢球 14 Level shifter 廖定播 2 7 境 境 Retention Cell 廖定整 2 8 换 Dynamic Voltage Scaling 15 sleep standby 售full power噪 售over drive噪 廖定晖 2 9 售播噪 售DVFS Dynamic Voltage and Frequency Scaling噪果 意峰 恢球 16 廖定廖定廖 Isolation Cells switch off power domain standby power domain Isolation Cell Isolation Cell standby power domain 廖定帜峰 Isolation Cell 2 10 Level Shifters Level Shifter 廖定帜帜 Level Shifter Level Shifter Power Isolation Cell Enable Level Shifter Level Shifter 妨 Power Isolation Cell Retention Registers 17 RAM state retention registers retention registers 廖定帜廖 2 11 2 12 Power Switch Cell 意峰 恢球 18 Power Switch Cell VDD Power Isolation Cell VDD VDD VSS Power Isolation Cell VSS VSS 廖定帜恢 Power Isolation Cell 2 13 Always ON Cell Always ON Cell Always On Cell 廖定帜意 Always On Cell 2 14 19 UPF Unified Power Format CPF Common Power Format CPF Candance UPF Synopsys UPF UPF 意峰 恢球 20 21 恢定帜 缩脑神 售Gate Level Netlist噪 恢定帜 RTL Design Analyze Elaborate GTECH DC Compiler Netlist 恢定帜 9 Synthesis Translate Mapping Optimization Translate Verilog VHDL GTECH Mapping GTECH Foundry Optimization ASIC Synopsys Design compiler MAGMA Blast create IP Synopsys Design Compiler 恢定廖 Synopsys 恢 果 意峰 恢球 22 帜 full chip 廖 妨 售don t touch噪 SoC 妨 10 恢 SoC 妨 妨 恢定恢 RTL 售design rule constraints噪 售design optimization constraints噪 EDA 11 IC 恢定恢定帜 23 12 售Maximum transition time 13 set max transition 0 2 design 售Maximum capacitance噪 售Maximum fanout噪 fanout set load 恢定恢定廖 mapping 14 妨 妨 售timing噪 意峰 恢球 24 售帜噪 意 15 恢定廖 身噪 帜 Din D 转噪 售DFFl DFF2噪 廖 D 遇噪 售DFF2 Dout噪 恢 Dout 配噪 售Din Dout噪 意 Din Dout 恢定廖 意 售廖噪 25 setup time hold time 恢定恢 恢定恢 售恢噪 售generated噪 妨 售generated噪 Set clock uncertainty Set clock latency Set clock transition 售意噪 timing exception 果 timing exception 身噪 false path 意峰 恢球 26 False path 转噪 max delay min delay 遇噪 multicycle path 配噪 case analysis 金噪 disable timing 果 set disable timing 售timing arcs 售cell噪 妨 27 SOC 妨 Design Compiler 缩脑神 set clock gating style sequential cell latch constrol point before control signal test mode minimum bitwidth 4 max fanout 50 果 sequential cell minimum bitwidth max fanout 恢定意 般累玉 恢定意定帜 般累玉 UPF Unified Power Format IEEE1801 IEEE 廖峰峰晖 恢 16 TCL Tool Command Language UPF power switch cell isolation cell retention cell IC UPF RTL to silicon UPF EDA 意峰 恢球 28 UPF IP RTL 恢定意定廖 般累玉 UPF UPF RTL UPF UPF 17 售Power Domain 售Power State噪 Level Shifter 售Power Isolation Cell噪 售Retention Register噪 售Logic Switch噪 恢定意定恢 般累玉 般累玉 UPF Synopsys IEEE1801 UPF UPF 恢定意 Synopsys EDA UPF EDA 般累玉 VCS MVSIM MVRC UPF 售RTL 噪 Design Compiler IC Compiler UPF Formality PrimeTime PrimeTime PX PrimeRail RTL UPF RTL UPF RTL UPF RTL UPF 售 Design Compiler噪 RTL UPF UPF UPF UPF 29 售 噪 售 IC Compiler噪 UPF 封 UPF UPF UPF 售 噪 RTL售 噪 UPF Formality RTL UPF 缩脑神 售 PrimeTime噪 售 PrimeTime PX噪 般累玉 PrimeTime UPF PrimeTime UPF UPF UPF 恢定意 sy高鲁 sys 般累玉 恢定意定意 般累玉 UPF 恢定批 售帜噪 UPF UPF 售Power Domain噪 意峰 恢球 30 封 2G 3G 3G 2G 恢定批 XG626 恢定批 意 果TOP 2G domain 3G domain RTC domain 果 create power domain PD STDBY TOP include scope create power domain PD SW 2G elements core shell 2g top shell create power domain PD STDBY STDSHELL elements core shell 2g top shell inst stram std shell create power domain PD STDBY RSMTOPISO elements core shell 2g top shell inst stram rsm topiso create power domain PD STDBY COMSHELL elements core shell 2g top shell inst stram com shell create power domain PD SW 3G elements core shell 3g top shell create power domain PD STDBY DSP elements core shell 3g top shell inst dsp top 31 create power domain PD STDBY PROC elements core shell 3g top shell inst processor top create power domain PD STDBY RAMTOP elements core shell 3g top shell inst ram top create power domain PD RTC elements core shell inst rtc 售廖噪 UPF 售Power Network噪 果 create supply net VDD domain PD STDBY TOP create supply net VDD domain PD SW 2G reuse create supply net VDD domain PD STDBY STDSHELL reuse create supply net VDD domain PD STDBY RSMTOPISO reuse create supply net VDD domain PD STDBY COMSHELL reuse create supply net VDD domain PD SW 3G reuse create supply net VDD domain PD STDBY DSP reuse create supply net VDD domain PD STDBY PROC reuse create supply net VDD domain PD STDBY RAMTOP reuse create supply net VSS domain PD STDBY TOP create supply net VSS domain PD SW 2G reuse create supply net VSS domain PD STDBY STDSHELL reuse create supply net VSS domain PD STDBY RSMTOPISO reuse create supply net VSS domain PD STDBY COMSHELL reuse create supply net VSS domain PD SW 3G reuse create supply net VSS domain PD STDBY PROC reuse create supply net VSS domain PD STDBY DSP reuse create supply net VSS domain PD STDBY RAMTOP reuse create supply net VDD RTC domain PD STDBY TOP create supply net VDD RTC domain PD RTC reuse create supply net VSS SW 2G domain PD SW 2G resolve parallel create supply net VSS SW 3G domain PD SW 3G resolve parallel UPF 封 封 意峰 恢球 32 封 售恢噪 UPF UPF 售Power Switch Cell噪 PD SW 2G 果 create power switch PS 2G domain PD SW 2G output supply port VSSLOGIC VSS SW 2G input supply port VSSON VSS control port enable0 2g pwron out 0 control port enable1 2g pwron out 1 on state ena VSSON enable0 off state dis enable0 enable1 map power switch PS 2G domain PD SW 2G lib cells LOGICSWITCHBUFX6 LOGICSWITCHX6 PD SW 3G 果 create power switch PS 3G domain PD SW 3G output supply port VSSLOGIC VSS SW 3G input supply port VSSON VSS control port enable0 3g pwron out 0 control port enable1 3g pwron out 1 on state ena VSSON enable0 off state dis enable0 enable1 map power switch PS 3G domain PD SW 3G lib cells LOGICSWITCHBUFX6 LOGICSWITCHX6 PD SW 2G VSS VSS SW 2G 33 2g pwron out 0 2g pwron out 1 售意噪 UPF 售Power Isolation Cell噪 精 帜 峰 Power Domain 帜 Power Domain Power Domain PD SW 2G 峰 PD SW 2G set isolation OUTISO 0 SW 2G domain PD SW 2G isolation power net VDD isolation ground net VSS clamp value 0 elements 2G OUTISO 0 LIST set isolation control OUTISO 0 SW 2G domain PD SW 2G isolation signal 2g iso n out isolation sense low location parent 售批噪 UPF Level Shifter UPF PD SDTBY TOP 封 帜定廖 若 PD RTC 帜定整若 PD RTC PD RTC PD RTC PD RTC TOP 果 set level shifter LS in RTC location self domain PD RTC 意峰 恢球 34 rule low to high applies to inputs map level shifter cell domain PD RTC lib cells LSIN X160 LS in RTC set level shifter LS out RTC location parent domain PD RTC rule high to low applies to outputs map level shifter cell domain PD RTC lib cells LSOUT X160 LS out RTC 售换噪 UPF UPF 售Power State Table PST噪 PST PST 意 果 PD SW 2G PD SW 3G add port state VDD state as high wc 1 2 add port state VDD state dis off add port state VSS state ena 0 add port state VSS state dis off add port state VDD RTC state as high wc 1 8 add port state VDD RTC state dis off add port state PS SW 2G VSSLOGIC state ena 0 add port state PS SW 2G VSSLOGIC state dis off add port state PS SW 3G VSSLOGIC state ena 0 add port state PS SW 3G VSSLOGIC state dis off create pst top pst supplies list VDD VDD RTC VSS VSS SW 2G VSS SW 3G 35 add pst state active high wc pst top pst state as high wc as high wc ena ena ena add pst state 2G sleep high wc pst top pst state as high wc as high wc ena dis dis add pst state 3G sleep high wc pst top pst state as high wc as high wc ena ena dis add pst state deep sleep high wc pst top pst state dis dis dis dis dis 意峰 恢球 36 稿若缩点 37 MVRC 意定帜 MVRC Synopsys Multi Voltage Rule Checker MVRC MVRC MVRC Structural check Architectural check UPF PG姓 封 UPF MVRC MVRC UPF1 0 意定帜 MVRC MVRC 意定帜 MVRC MVRC MVRC 定lib 定db 意定廖 RTL GDSII 意峰 恢球 38 意定帜 稿若缩点 意定廖 稿若缩点 累球姓 缩脑神然点 累球 的点点 累球 的点点 累球 定飞门转封定配转 定v 定配转 定v 境 般累玉 稿若缩点 MVRC Power Isolation Cell 售 噪 Level shifters Enable level shifters isolation with low to high and isolation with high to low噪 Retention Register Power switch cells 稿若缩点 39 意定帜 稿若缩点 稿若缩点 缩脑神 般累玉 般累玉 般累玉 般累玉 门s鲁飞身t门鲁高 遇金飞飞 飞金v金飞 s销门 t金r r金t金高t门鲁高 般累玉 般累玉 般累玉 门s鲁飞身t门鲁高 遇金飞飞 飞金v金飞 s销门 t金r r金t金高t门鲁高 清飞w身ys姓鲁高 意定廖 MVRC MVRC 意定廖 MVRC 售帜噪 MVCMP 般累玉 mvcmp upf upf file full64 mvcmp netlist full64 售廖噪 MVDBGEN MVDB 坏定lib db PG mvdbgen top top name full64 售恢噪 PG MVDB 意峰 恢球 40 MVDB PG MVPHYDBGEN噪 MVDB MVPHYDB mvphydbgen top top name full64 意定廖 MVRC 售意噪 MVRC MVRC MVDB MVPHYDB mvrc full64 check list tcl check list tcl read db report library severity ERROR file mvreports lib report power intent file mvreports power intent report protection critique file mvreports prot critique 稿若缩点 41 report protection rail order file mvreports prot rail order report protection severity WARNING file mvreports prot warn report architecture best practices file mvreports archi bp report architecture redundant ls file mvreports archi redun ls set var halt at flop data true report architecture island order file mvreports archi island order report protection intent severity WARNING file mvreports prot intent MVRC 清 意峰 恢球 42 43 妨 批定帜 CMOS CMOS 18 Leakage Current Short Circuit Current Switch Current CMOS 售帜噪 Static Power VDD VSS Leakage Current 批定帜 IC 售廖噪 Internal Power CMOS 意峰 恢球 44 峰 帜 帜 峰 PMOS NMOS VDD PMOS NMOS VSS Short Circuit Current Overlap Current 批定帜 批定廖 PMOS NMOS 批姓帜 5 1 45 MOS 批姓廖 5 2 批姓恢 5 3 VDD VDD VT 19 售恢噪 Switch Current MOSFET PMOS VDD VSS NMOS VSS 20 Switch Power 批定恢 批定恢 Switch Power 批姓意 5 4 Switch Power VDD Switch Power CMOS IR Drop 意峰 恢球 46 IR Drop Power 21 IR Drop 批定意 IR Drop B CK B CLKB A CLKA B B Setup Margin 批定意 IR Drop CLKB 批定批 IR Drop A B A B CLKA A IR Drop A B 潜 B Setup Margin 批定批 的缩姓然r鲁 清潜 的缩姓然r鲁 的缩姓然r鲁 47 22 批定廖 synopsys PrimeRail Primetime PX PTPX 果 Synopsys PrimeTime PX PrimeTime 背y高鲁 sys PrimePower RTL Layout PTPX 23 Switching Power power supply voltage net switching 批姓批 售批姓批噪 售 Internal Power Leakage Power PTPX Library Power model Power model transition time Prime Rail StarRC input transition time PrimeRail 果 Prime Rail IR Drop IR Drop IR Drop IR Drop HSPICE IR Drop 24 Prime Rail PG PG Rail Analysis IR Drop 意峰 恢球 48 IR Drop 批定换 批定换 Prime Rail 果 PrimeRai飞 25 批定播 PrimeRail 售帜噪 Primetime PX set power enable analysis true set switching activity clock derate 0 1 static probability 0 5 clock domains all clocks type register hierarchy set power rail output file cell power rpt 49 update timing update power 批定播 PrimeRail 售廖噪 IC Compiler synopsys pr setup e Milkyway 妨 Milkyway CEL view ILM view FRAM view 妨 DB view CONN view妨 IC compiler create rail setup parasitic corner max directory power setup results 售恢噪 PrimeRail poLoadRailSetup setFormField Load Rail Setup File Name power setup results synopsys pr setup e Primetime PTPX IC Compiler Create rail setup PrimeRail PrimeRail CONN db CEL ILM F Milkyway 意峰 恢球 50 formOK Load Rail Setup poExtractPGParasitics setFormField PG Net Extraction PG Net Name PG NETS formOK PG Net Extraction 售意噪 PrimeRail VSS VDD poTransientPowerAnalysis setFormField transient power analysis power vector free report file cell power rpt formOK transient power analysis poRailAnalysis setFormField P G Rail Analysis power net name power net setFormField P G Rail Analysis pad name file PG source txt formApply P G Rail Analysis PrimeRail 批定恢 批定恢定帜 封 PrimeRail 批定整 51 批定整 封 累rimeRail 批定晖 批定帜峰 批定晖 批定帜峰 switch cell 意峰 恢球 52 switch cell switch cell switch cell 批定帜帜 switch cell switch cell switch cell 批定帜帜 Switch Cell 售 query power command 噪 PrimeRail Query Map Power clk bBox 121 200 251 600 129 200 259 600 power 0 332 mW power density 0 00518 mW um 2 53 Power density map cell based The same as Power map Power density map window based area query Map Power clk 6 bBox 121 200 251 600 129 200 259 600 power 0 332 mW power density 0 00518 mW um 2 clk 12 bBox 107 600 251 600 115 600 259 600 power 0 278 mW power density 0 00434 mW um 2 m40 reg 10 bBox 113 200 242 800 130 000 250 800 power 0 0344 mW power density 0 000256 mW um 2 Total power under selected area 0 644 mW switch cell 姓姓姓 Power Switch Cell 姓姓姓 售帜噪 售廖噪 Power Switch Cell switch cell 批定帜廖 Power Switch Cell 意峰 恢球 54 5 12 S

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论