




已阅读5页,还剩5页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
EDA技术课程设计课题:楼道触摸延时开关设计系 别: 电气与电子工程系专 业: 电子信息工程姓 名: 学 号: 指导教师: 年 月 日 目录一、设计目的3二、设计要求3三、总体设计原理与内容31、设计的总体原理:32、设计内容4四、EDA设计及仿真41、楼道触摸延时开关源程序52、楼道触摸延时开关仿真结果及数据分析5五、硬件实现61、硬件引脚锁定及步骤62、硬件实现照片6六、设计总结91、设计过程中遇到的问题及解决方法92、设计体会9七、设计生成的电路图10参考文献10一、设计目的 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。二、设计要求1、以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试;2、熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。3、能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; 4、学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。5、用EDA技术设计一楼道触摸延时开关,具体要求如下:(1)当人用手触摸开关时,照明灯点亮,并持续一段时间后自动熄灭。(2)开关的延时时间约1分钟左右。三、总体设计原理与内容 1、设计的总体原理: 图 311 触发延时电路框图结构 根据数字电路中触发延时电路的结构框图可以更清晰的了解触发延时开关的功能作用,尽管使用EDA编程触摸延时开关不需要知道其内部结构,但还是有一定的帮助。 图 312 数字电路中触发延时开关设计图 根据数字电路中触发延时开关设计图,可以更清楚的了解触摸延时开关在使用时只要用手指摸一下触摸电极,灯就点亮,延时若干分钟后会自动熄灭。可以直接取代普通开关,不必改室内布线。 2、设计内容通过以上内容的分析我们可以对编程做出一些实质性的约定:可以把手触摸端假设为一个开关,按下表示手触摸,断开表示手离开开关,以便于试验箱上进行硬件仿真。在硬件仿真中,可以用LED代替灯泡。根据设计标准,我们可以在程序中实现延时一分钟的功能,并能通过修改程序实现不同的延时时间。 四、EDA设计及仿真1、楼道触摸延时开关源程序library ieee;use ieee.std_logic_1164.all;-entity switch is port(clk,inp :in std_logic; output:buffer std_logic); end switch ;-architecture switch_dely of switch is begin process(clk)variable temp1: integer range 0 to 1000; -定义变量以实现计数定时延时; begin if (inp=1)then-给一个触发时,也即手触摸开关;output=10 and output=1) then 当延时时间到达时,灯还亮, output=0; -输出一个低电平,灯灭; temp1:=0; -计数清零; else temp1:=temp1+1; -灯灭后延时时间未到,继续计数; end if; end if; end process; end switch_dely;2、楼道触摸延时开关仿真结果及数据分析 图 421 楼道触摸延时开关仿真图 数据分析:如上图所示由于仿真中时钟的时间设置受到一定的限制,只能在延时不为一分钟的情况下定性的分析他的延时计数功能,只要这个功能实现,则硬件仿真一定成功。在仿真结果波形中,当inp=1时,output=1,也即灯亮;从inp=0时刻开始一直持续十个时钟期间output=1,即实现延时功能,随后若inp=0,则output=0,灯灭延时结束。以上仿真中共有三次延时。按照程序的编译,如果延时还没结束又有inp=1则灯会一直亮,直到inp=0之后的十个时钟周期后才熄灭。五、硬件实现 1、硬件引脚锁定及步骤在硬件仿真前要进行引脚锁定,我选择的是模式5,其中输入inp连接按键1,对应PIO0端口,锁定引脚PIN_AB15;输出output连接D1,对应PIO8接口,锁定引脚为PIN_J14;时钟选择CLOCK0,锁定引脚PIN_L1.其引脚配置图如下: 图 511 硬件仿真引脚配置图2、硬件实现照片 如以下照片所示:照片一显示手触开关时,按键一上面的指示灯亮代表inp=1,此时数码管上面对应的灯亮,表示output=1;照片二显示手离开开关,按键一上面指示灯灭,代表inp=0,此时数码管上面的灯还亮,表示output=1,即灯亮处于延时时间内;照片三中,按键指示灯不亮表示inp=0,且延时时间结束,灯灭。至此整个触摸延时功能演示结束。 照片一 手触摸开关时照片 照片二 手离开开关灯延时亮一分钟时照片 照片三 延时结束灯灭的照片六、设计总结1、设计过程中遇到的问题及解决方法 虽然触摸延时开关的设计很简单,但在设计中同样出现了一些小问题。首先是代码的编写,延时可以通过很多种方法来实现,比如说定时延时,脉冲计数延时,甚至可以借助FOR循环或者LOOP循环来实现延时。但是众多的延时中有的只能仿真,不能综合,比如FOR循环延。为了实现仿真和综合并举,我考虑了前面两种延时方式。通过用不同的代码进行程序编写,我发现利用计数器实现的延时编程简单且易懂,所以我选择使用计数器延时方式。但在程序编译进行软件仿真时,又出现了一些错误,可以说大部分是语法错误,其中花费最长时间去修改的是一个输出端口output的定义问题,开始把它定义为输出类型,总是有错,但换成buffer后,就可以了。程序中本来有一个时钟复位信号reset,但实际使用中他和定义变量temp1功能相同,所以舍弃了reset降低了程序复杂度。其余的错误通过错误提示进行相应的修改后,程序编译成功,且波形分析也符合设计要求。 2、设计体会 为期一周的EDA课程设计很快就结束了,虽然在以前的学习中还有很多没有弄懂的问题,但通过这次设计进一步加深了对EDA的了解,让我对他有力更加浓厚的兴趣。 在这次课程设计过程中,我充分认识到实际操作的重要性。通过课程设计可以把很多章节的模块融合到一起,对以后的学习很有帮助。通过这次课程设计我感觉自己在动手操作方面有了质的飞跃,结合以前的EDA实验课沉淀下来的经验和老师的指导以及周围同学的帮助,我的这次设计进行得很顺利,在巩固所学知识的基础上也显著增强了自己的实践能力。同时这次课程设计还是我更加清楚的认识到理论基础知识的重要性,做设计不能为了显示自己的能力而闭门造车,那样指挥使自己局限在一个小圈子内很少会有较大的创新。我们在做任何设计前都要广泛查阅税基资料,并借助网络资源去了解并汲取他人好的创作思想,再加上自己的想法,不怕没有好的作品出现。另外,在创作中还要多联系实际情况,只有理论与实际都理解明白了,才能更好的完成设计创作。所以在今后的学习和工作中,我们不能仅把目光停留在看课本上,要多理论联系实际,因为有时候理论可行的东西放到实际中并不都能如愿实现,这就眼我们动脑思考去做相应的修改以完成要求。最后我承认这次设计在很大程度上锻炼了我的能力。七、设计生成的电路图 RTL Viewer参考文献1、潘松,王国栋,VHDL实用教程M.成都:电子科技大学出版社,2000.(1)2、崔建明主编,电工电子EDA仿真技术 北京:高等教育出版社,20043、李衍编著,EDA技术入门与提高王行 西安:西安电子科技大学出
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 工业设计色彩课件
- 年度岗位安全培训计划课件
- 年度安全检查培训计划表课件
- 年度安全培训总结报道课件
- 2024年湖南能源集团招聘考试真题
- 威尼斯的小艇教学课件
- 威亚安全生产培训记录课件
- Florbetaben-生命科学试剂-MCE
- FAPI-P8PN-生命科学试剂-MCE
- exo-α-Arabinofuranosidase-Caldicellulosiruptor-saccharolyticus-生命科学试剂-MCE
- 药房管理规章制度目录
- 中职第1课 社会主义在中国的确立和探索试题
- 2025年辽宁省交投集团招聘笔试参考题库含答案解析
- 2024年版高尔夫球场场地租赁及会员服务协议3篇
- 香港 信托合同范本
- 少先队活动课《民族团结一家亲-同心共筑中国梦》课件
- 阀门培训课件
- 《焦化机械设备维护检修标准》
- DB11∕T 899-2019 盆栽蝴蝶兰栽培技术规程
- ISO27001信息安全管理体系培训资料
- 2024年上半年全国燃气事故分析报告
评论
0/150
提交评论