




已阅读5页,还剩18页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
通信原理试题注:原稿为手抄版,信息有不准。 一、选择题(每题2分,共20分) 1、设某离散信源有32个等概率出现的、相互统计独立的符号组成,在信道容量为10000比特/秒的数字信道中传输该信号,理论上可靠传输的上限为( )。 A、1000符号/秒 B、2000符号/秒 C、4000符号/秒 D、5000符号/秒2、对于2FSK信号进行非相干解调,其输出差错概率可表示为( )。 A、1erfc()2r B、1erfc()22r C、12re D、212re 3、PCM30/32系统中,每路话音信号编码的速率为( )。 A、4KHz B、64KHz C、2.048MHz D、1.544MHz 4、在数字通信系统中,若采用16QAM调制方式传输,无码间干扰所能达到的最高频带利用率为( )。 A、1 Baud/Hz B、2 Baud/Hz C、3 Baud/Hz D、4 Baud/Hz 5、在数字基带系统中,设发送符号1和符号0的概率分别为P(1)和P(0),抽样时刻值分别为A和0,噪声功率为,为使输出误码率最小,最佳判决门限应为( )。2n A、2A B、2(0)ln22(1)nAPAP+ C、2(0)ln2(nAP AP+ D、22(0)ln2(nAPAP+ 6、长度为7的巴克码,其局部自相关函数为()Rn,则( )。(3)R= A、1 B、0 C、1 D、7 7、在时,对于2FSKC、2PSKC、2DPSKC和2FSKNC四种调制方式(其中尾部C表示相干解调,NC表示非相干解调)的解调差错概率由小到大的排列是( )。0/10dbEN= A、2FSKC2PSKC2FSKNC2DPSKC B、2DPSKC2PSKC2FSKNC2FSKC C、2PSKC2DPSKC2FSKC2FSKNC D、2PSKC2FSKC2DPSKC2FSKNC 8、对BPSK调制信号采用Costas环提取相干载波,若BPSK信号为,本地载波与发端载波的相差为()mt,则环路中压控振荡器的控制电压为( ) A、2()cos2kmt B、2()sin2kmt C、2()coskmt D、2()sinkmt 9、(原稿丢失) 10、在一个分组码中,若要在码组内检测出2个错误,同时纠正1个错误的最小码距是( ) A、2 B、3 C、4 D、5 二、填空题(前5题每空1分,后6题每空2分,共30分) 1、编码信道可以分为( )和( )信道。2、(第六章)数字通信中常用的最佳接收准则有( )、( )、( )和( )。3、窄带高斯噪声的随机包络服从( )分布,随机相位服从( )分布。4、同步技术主要包括( )同步、( )同步和( )同步。5、若采用BPSK调制方式传输数据,已知码元传输速率为1200Baud,则它的带宽为( )。6、均匀量化PCM系统中,取样速率为8KHz,输入为单音正弦信号时,若编码后比特率由16 kbit/s增加到64 kbit/s,则量化信噪比增加了( )dB。7、设简单增量调制系统的量化阶为50mV,抽样频率为32KHz,当输入信号为800Hz正弦波时,允许的最大振幅为( )。8、已知信息代码100000000011000001000011,相应的HDB3码为( )。9、若随参信道的两径时延差为0.1ms,则在( )频率上传输衰耗最大,选用( )频率对信号最有利。10、设群同步码组中的码元数,系统的误码率为,当最大允许错码数为,则假同步概率为( ),漏同步概率为( )。7n=310eP=1m=11、码长为15的汉明码,其监督元的位数是( ),编码效率为( )。三、当均衡器的输入序列为114x=,01x=112x=,其余kx为0,采用3抽头横向均衡器,使用峰值畸变最小化方法,求抽头系数、。1c0c1c四、对10路带宽为3003400Hz的模拟话音信号进行PCM时分复用传输,抽样速率为8KHz,抽样后进行8级量化,并编为自然二进制码,码元波形是宽度为的矩形脉冲且占空比为1,试求传输此时分复用PCM信号所需的带宽。五、设PCM系统中,模拟信号的样值电流为1011,用13折线A律进行编码,试求编出的码字及解码后的量化误差。六、若给定低通型信道的带宽为2400Hz,在此信道上进行基带传输,当基带形成滤波器特性分别为理想低通、50%余弦滚降和100%余弦滚降时,试求无码间传输的最高码元速率及相应的频带利用率各为多少?并简述基带传输系统中为什么要做余弦滚降? 七、采用2FSK方式,在有效带宽为2400Hz的传输信道上传送二进制数字信息。已知2FSK信号的两载频为f1980Hz,f21580Hz,码元速率为Baud,传输信道输出端的信噪比为6dB,试求: 300BR= (1)、2FSK信号的第一零点带宽;(2)采用包络检波法解调时,系统的误码率。八、假设在2DPSK系统中,载波频率为2400Hz,码元速率为1200Baud,已知绝对码序列为1100010111,(1)画出2DPSK信号波形;(2)画出采用差分相干解调法接收信号时解调系统的各点波形;(3)若发送信息符号“0”和“1”的概率分别为0.6和0.4,求该信号的功率谱。九、已知(7,3)循环码生成矩阵为101110001011100010111G= (1)、试写出该(7,3)循环码的生成多项式、典型生成矩阵和典型监督矩阵()gxGH; (2)、若输入信息码为110,试写出对应的循环码码组; (3)、该码能纠正几位错误?北京邮电大学2006年硕士研究生入学考试试题 考试科目:通信原理(A卷) 请考生注意:所有答案一律写在答题纸上,否则不计成绩。试卷最后有公式及其他计算提示 一选择填空(每空1分,共16分) 从下面所列答案中选择出最合理的答案。在答题纸上写上空格编号以及你所选择的答案,每空格编号只能对应一个答案。 (a)自信息 (b)窄带 (c)宽带 (d)无码间干扰 (e)信息熵 (f)码间干扰 (g)频带利用率 (h)信源的冗余度 (i)信道容量 (j)多径 (k)不能 (l)增量调制 (m)大于 (n)线性 (o)能 (p)Eb/N0 (q)从频域解除相关性 (r)等于 (s)循环 (t)误码 (u)非线性 (v)不同 (w)互信息 (x)小于 (y)从时域上解除相关性 (z)相同 1在宽带无线数字通信系统中,有两个因素对系统的误码性能有重要影响,一是和发送功率相关的 1 ,另一是和信道的频率选择性衰落相关的 2 。将1增大 3 减小2。 2在加性白高斯噪声信道条件下,若给定信息速率、平均发送功率及噪声功率谱密度N0值,当进制数M增加时,对于MFSK可使 4 性能得到改善,对于MPSK可使 5 性能得到改善。 3在信源编码中, 6 是信源编码和数据压缩的理论基础。 4在信源编码中,预测编码是 7 ,离散余弦变换编码是 8 。 1 5若信源的信息速率 9 信道容量,则存在一种编码方式,可保证通过该信道传送信息的差错率任意小。反之,若信源的信息速率 10 信道容量,则对于任何编码,传送的差错率都将大于某个不为零的正值。 6循环码具有 11 性及 12 性。 7信道容量是指该信道的输入与输出 13 的最大可能值。 8扩频通信能够有效抑制外系统引起的 14 干扰和信道引起的 15 干扰,但它在抑制加性白高斯噪声方面的能力和非扩频系统是 16 的。 二(12分)已知下图所示的系统是在加性白高斯噪声干扰条件下,对某个脉冲匹配的匹配滤波器。 (1)请写出该匹配滤波器的冲激响应()ht及传递函数()Hf的表达式; (2)假设在()gt()0,T之外为0,请写出脉冲()gt的表达式并画出波形。 三(12分)已知某通信系统中的误码率的求解问题可归结为求概率bPb,其中随机变量121,是独立同分布的零均值高斯随机变量,方差均为12。试求。 b四(12分)一角度调制信号()()500cos25cos2cmstftft=+,其中,1kHzmf=1MHzcf=。 (1)若已知是调制信号为的调相信号,其相位偏移常数(调相灵敏度),请写出调制信号()st()mt5rad/VpK=()mt的表达式; (2)若已知是调制信号为的调频信号,其频率偏移常数(调频灵敏度),请写出调制信号()st()mt5000Hz/VfK=()mt的表达式; 2 (3)请写出的近似带宽。 ()st五(12分)随机序列na中的1na为独立等概的二进制随机变量。由na构成的冲激序列通过脉冲成型滤波器后得到PAM信号,如图(a)所示。 ()st()yt (1)若成形滤波器的冲激响应如图(b)所示,试写出()gt()yt的功率谱表示式,并画出功率谱密度图(标上频率值); (2)若成形滤波器的冲激响应如图(c)所示,试写出()gt()yt的功率谱表示式,并画出功率谱密度度图(标上频率值); (3)在成形滤波器的冲激响应如图(c)的情形下,写出第k个取样值的表达式,写出的各种可能取值及其发生概率,指出中是否存在码间干扰。 ()gtkykyky六(12分)某激光唱盘(CD)记录系统采用PCM方式将单声道音乐转换为数字信号(A/D)。采样率为44.1千样值/秒,每样值用16bit表示。 (1)若A/D的输入是在动态范围内均匀分布的测试信号,请求出D/A复原后信号的输出信噪比(分贝数); (2)若将数字化的音乐数据比特经过(28,24)循环码编码再输出,求输出的数据速率; 3 (3)若一张CD盘片能存储按(2)的方式产生的两小时音乐的比特流,求这张CD所需要的存储量是多少Byte。 七(12分)若某带通信道带宽为4kHz,试求分别采用BPSK、4PSK、8PSK、16QAM等数字调制进行无码间干扰传输时,可达到的最高比特速率。假设发送频谱均采用滚降系数为1=的平方根升余弦成形。 八(12分)有一种特别设计的相干2PSK系统的信号表达式如下 ()2sin21cos2ccstAkftAkft=,0btT0/2的白高斯噪声。接收端使用同步载波进行解调,并使用同步的m序列进行解扩。对于第k个发送的码元,接收端在时间内进行相关积分后得到判决量,再通过过零判决得到输出。 ()wnt(),1kTkT+kr (1)请写出m序列的周期p,画出产生此m序列的电路逻辑框图; (2)写出图中A、B、C点信号的主瓣带宽; (3)请推导发送条件下判决量的条件概率密度函数kakr()|kkpra,并导出平均判决错误率作为0bEN的函数,是平均每信息比特在C点的能量。 bE十一.(12分)设有一(7,4)系统循环码,其生成多项式为。假设码字自左至右对应码多项式的次数自高至低,假设系统位在左。 ()31gxxx=+(1)求信息0111的编码结果; (2)若译码器输入是0101001,求其码多项式模()gx所得的伴随式,并给出译码结果; 5 (3)写出该码的系统码形式的生成矩阵及相应的监督矩阵。 十二.(12分)在下图(a)所示的系统中,输入的二进制序列经过一个1/3率的卷积编码后再进行8PSK调制。8PSK的星座如图(b)所示。 (1)若输入的信息速率是1Mb/s,求调制后的符号速率; (2)请按格雷码规则标出图(b)中所对应的二进制比特; 45678,sssss(3)若编码器输入信息序列的前3比特是101,写出卷积码编码器的前9个输出比特,写出8PSK调制输出的前3个符号的发送相位。 !所有答案(包括选择填空题)一律写在答题纸上 公式及计算提示(一共5条,请注意下一页。做题时可以不使用这些提示) 1()22erfctxxedt= 2若0均值高斯随机变量的方差是2,则对于,有0x()()21erfc22xPxPx= 6 3标准BPSK最佳相干接收的误码率公式是01erfc2bEN 4若零均值平稳随机序列na的符号之间不相关,则PAM信号的功率谱密度为(ktkagtnT=()()22ntEaPfGfT=,其中是的傅氏变换。 ()tGf()tgt5erfc函数表: x ()1erfc2x的近似值 0.91 0.1 1.6 0.01 2.2 0.001 2.6 0.0001 3.0 0.00001 3.4 0.000001 7 2006年硕士研究生入学考试通信原理A卷参考答案 一选择填空(每空1分,共16分) (a)自信息 (b)窄带 (c)宽带 (d)无码间干扰 (e)信息熵 (f)码间干扰 (g)频带利用率 (h)信源的冗余度 (i)信道容量 (j)多径 (k)不能 (l)增量调制 (m)大于 (n)线性 (o)能 (p)Eb/N0 (q)从频域解除相关性 (r)等于 (s)循环 (t)误码 (u)非线性 (v)不同 (w)互信息 (x)小于 (y)从时域上解除相关性 (z)相同 1在宽带无线数字通信系统中,有两个因素对系统的误码性能有重要影响,一是和发送功率相关的 p 1,一是和信道的频率选择性衰落相关的 f 2。将1增大 k 3减小2。 2在加性白高斯噪声信道条件下,若给定信息速率、平均发送功率及噪声功率谱密度N0值,当进制数M增加时,对于MFSK可使 t 4性能得到改善,对于MPSK可使 g 5性能得到改善。 3在信源编码中, h 6是信源编码和数据压缩的理论基础。 4在信源编码中,预测编码是 y 7,离散余弦变换编码是 q 8。 5若信源的信息速率 x 9信道容量,则存在一种编码方式,可保证通过该信道传送信息的差错率任意小。反之,若信源的信息速率 m 10信道容量,则对于任何编码,传送的差错率都将大于某个不为零的正值。 6循环码具有 s 11性及 n 12性。(或者n,s) 7信道容量是指该信道的输入与输出 w 13的最大可能值。 8 8扩频通信能够有效抑制外系统引起的 b 14干扰和信道引起的 j 15干扰,但它在抑制加性白高斯噪声方面的能力和非扩频系统是 z 16的。 二(12分)已知下图所示的系统是在加性白高斯噪声干扰条件下,对某个脉冲匹配的匹配滤波器。 ()gt (1)请写出该匹配滤波器的冲激响应()ht及传递函数()Hf的表达式; (2)假设在()gt()0,T之外为0,请写出脉冲()gt的表达式并画出波形。 解:(1) ()()()100ttThtTdt=其他 ()()21sinc2jfTjfTeHfTfTejf= (2)设最佳取样时刻是,由0t()()0htgtt=得()()0gthtt=。再由在()gt()0,T之外为0得0tT=,因此 ()()100tTgthTtt=其他 其波形如下 三(12分)已知某通信系统中的误码率的求解问题可归结为求概率bP1bPP=,其中随机变量121nnnn=+,是独立同分布的零均值高斯随机变量,方差均为12,nn2。试求bP。 9 解: ()()()()()()()()()()12121212121212110101110,1010,1010101010bPPPPnnnnPnnPnnPnnPnPnPnPn=+=+=+=+=+ ()()122111010erfc22PnPn+=+=+= 所以22111erfc1erfc222bP= 四(12分)一角度调制信号()()500cos25cos2cmstftft=+,其中,1kHzmf=1MHzcf=。 (1)若已知()st是调制信号为的调相信号,其相位偏移常数(调相灵敏度),请写出调制信号()mt5rad/VpK=()mt的表达式; (2)若已知()st是调制信号为的调频信号,其频率偏移常数(调频灵敏度),请写出调制信号()mt5000Hz/VfK=()mt的表达式; (3)请写出()st的近似带宽。 解:(1)()()()500cos25cos2500cos2cmcpstftftftKmt=+=+,因此 ()()()5cos2cos2mmpftmtftK= (2) ()()500cos25cos2500cos22tcmcfftftftKm+=+ ()()5cos22tmfmdftK= ()()()52sin2sin22mmmffmtftftK= (3)12kHz 10 五(12分)随机序列na中的1na为独立等概的二进制随机变量。由na构成的冲激序列()st通过脉冲成型滤波器后得到PAM信号,如图(a)所示。 ()yt(1)若成形滤波器的冲激响应如图(b)所示,试写出()gt()yt的功率谱表示式,并画出功率谱密度图(标上频率值); (2) 若成形滤波器的冲激响应如图(c)所示,试写出()gt()yt的功率谱表示式,并画出功率谱密度度图(标上频率值); (3)在成形滤波器的冲激响应如图(c)的情形下,写出第k个取样值的表达式,写出()gtkyky的各种可能取值及其发生概率,指出ky中是否存在码间干扰。 解:设是()sPf()st的功率谱密度,()Gf是()gt的傅氏变换,则的功率谱密度为 ()yt()()()2ysPfPfGf= 由于0nEa=,21nEa=,()t的傅氏变换是1,所以()1sPfT=。因此()()2yGfPfT= 在(1)的情况下,()sinc()GfTfT=,()2sinc()yPfTfT=; 在(2)的情况下,()2sinc(2)GfTfT=,()24sinc(2)yPfTfT=。 11 功率谱密度图如下: (3)122knk。的可能取值为,相应概率分别为2,0,2+111,424。中存在码间干扰。 ky六(12分)某激光唱盘(CD)记录系统采用PCM方式将单声道音乐转换为数字信号(A/D)。采样率为44.1千样值/秒,每样值用16bit表示。 (1)若A/D的输入是在动态范围内均匀分布的测试信号,请求出D/A复原后信号的输出信噪比(分贝数); (2)若将数字化的音乐数据比特经过(28,24)循环码编码再输出,求输出的数据速率; (3)若一张CD盘片能存储按(2)的方式产生的两小时音乐的比特流,求这张CD所需要的存储量是多少Byte。 解:(1)量化级数为,量化信噪比为162()2163222=,折合成分贝是96.3分贝(或近似计算为32396=分贝) (2)32844.11016823.2kb/s24=; (3) 6740.8810Byte七(12分)若某带通信道带宽为4kHz,试求分别采用BPSK、4PSK、8PSK、16QAM等数字调制进行无码间干扰传输时,可达到的最高比特速率。假设发送频谱均采用滚降系数为1=的平方根升余弦成形。 解:1=时的基带频带利用率为1Baud/Hz,至频带时成为0.5Baud/Hz。考虑进制数为M,则带通的频带利用率为2logbit/s/Hz2M,传输速率为(22log2logkbit/s2BMM= 12 。因此,以上四种调制下最高的无码间干扰的速率是:2、4、6、8kbps。 八(12分)有一种特别设计的相干2PSK系统的信号表达式如下 ()2sin21cos2ccstAkftAkft=,0btT0/2的白高斯噪声。接收端使用同步载波进行解调,并使用同步的m序列进行解扩。对于第k个发送的码元,接收端在时间内进行相关积分后得到判决量,再通过过零判决得到输出。 ()wnt(),1kTkT+kr(1)请写出m序列的周期p,画出产生此m序列的电路逻辑框图; (2)写出图中A、B、C点信号的主瓣带宽; (3)请推导发送条件下判决量的条件概率密度函数kakr()|kkpra,并导出平均判决错误率作为0bEN的函数,是平均每信息比特在C点的能量。 bE解:(1)p=15 (2)A点主瓣带宽是1T,B点是LT,C点是2LT。 (3) ()()()()()()()()()()()()()11211cos22cos22cos22cos2cos42cos22cos2kTkkcwckTkTkcwckTkTkcwckTkTkwckTkractftntftcaftctntftdtaftctntftdtaTctntftdtaT+=+=+=+=+ 其中()()()12cos2kTwkTctntftdt+=是高斯随机变量 15 ()()()12cos2kTwckTEEctntftdt+= ()()()()()()()()()()()()()()()()()()()()()()()()11211110122002cos22cos24cos24cos2cos222cos2kTkTwcwckTkTkTkTwwcckTkTkTkTcckTkTkTckTEEctntftcnfdctcEntnftfddtNctctftfddtNctftdtNT+= 因此 ()()2001|exp22kkkkrapraNTNT= ()()()0011|10|1erfcerfc222kkkTTPeaPraPTNNT=+= 所以01erfc22bTPN=,由于2bTE=,所以 01erfc2bbEPN= 十一.(12分)设有一(7,4)系统循环码,其生成多项式为。假设码字自左至右对应码多项式的次数自高至低,假设系统位在左。 ()31gxxx=+(1)求信息0111的编码结果; (2)若译码器输入是0101001,求其码多项式模()gx所得的伴随式,并给出译码结果; (3)写出该码的系统码形式的生成矩阵及相应的监督矩阵。 解:(1)0111010; 16 (2)(或写成101),1000000的伴随式也是101,所以认为最高位有错,译为1101001。 21x+(3), 1000101010011100101100001011G=111010001110101101001H=十二.(12分)在下图(a)所示的系统中,输入的二进制序列经过一个1/3率的卷积编码后再进行8PSK调制。8PSK的星座如图(b)所示。 (1)若输入的信息速率是1Mb/s,求调制后的符号速率; (2)请按格雷码规则标出图(b)中所对应的二进制比特; 45678,sssss(3)若编码器输入信息序列的前3比特是101,写出卷积码编码器的前9个输出比特,写出8PSK调制输出的前3个符号的发送相位。 解:(1)编码后速率是3Mb/s,调制后速率是1M波特。 (2) 17 (3)卷积码输出的前9个比特是111001100,8PSK发送的前3个符号是,对应的相位是:628,sss11315,888。 Eda试题一、 概念题(18分)1、 实验用的可编程器件FLEX10K10内部门数是( D )。(A)6000 (B)8000 (C)3000 (D)10000 (2分)2、 VHDL英文全称是:Very-High-Speed Integrated Circuit HardwareDescription Language 超高速集成电路硬件描述语言 (2分)3、 我们EDA实验用的软件平台是( max+plus2 )。 (2分)4、 图标 a b 的功能分别是,a: 编译 b: 时间分析 (4分)5、IF-THEN-ELSE语句是(顺序 ),WHEN-ELSE语句是( 顺序 ),WITH-SLECT-WHEN语句是( 并行 ),FOR- LOOP语句是( 顺序 )。(8分) (A) 顺序语句 (B)并行语句 (C)调用语句 (D)并行语句二、简述题(12分)1、简述BUFFER和INOUT在用法上的区别。 (4分)INOUT为输入输出双向端口,即从端口内部看,可以对端口进行赋值,即输出数据。也可以从此端口读入数据,即输入。BUFFER为缓冲端口,功能与INOUT类似,区别在于当需要读入数据时,只允许内部回读内部产生的输出信号,即反馈。举个例子,设计一个计数器的时候可以将输出的计数信号定义为BUFFER,这样回读输出信号可以做下一计数值的初始值,buffer顾名思义就是缓存,它是作为输出使用的,因为在模块内,是不可以将输出赋值给其他信号的,例如定义b: out std_logic;我们现在要将b赋值给信号a,就会出错,但是如果b的类型为buffer就可以执行操作;inout是双向端口,即可以作为输入也可以作为输出,跟buffer的作用完全不同,要注意的是inout类型的数据在不作为输入使用时必须被置为高阻“Z”状态,否则它作为输出的功能将不能正确执行2、简述实体和结构体各自的职能和它们之间的联系。 (4分)实体:声明到其实体及其设计的接口,即定义本设计的输入/出端口结构体: 定义了实体的实现。即电路的具体描述所有能被仿真的实体都由一个结构体描述, 结构体描述实体的行为功能。即设计的功能,是实体中的具体逻辑。一个实体可以有多个结构体,一种结构体可能为行为描述,而另一种结构体可能为设计的结构描述或数据通道的描述3、语句:TYPE m_state IS (st0,st1,st2,st3,st4,st5); SIGNAL present_state,next_state : m_state;分别是什么语句?在此,描述的是什么含义?(4分)信号present_state,next_state的数据类型定义为m_state他们的取值范围是可以枚举的,即从st0-st5共六种,这些状态代表六组唯一的二进制数值三、 器件描述和功能判定(15分)q071d02、写出图1器件的实体描述, 并估计器件功能(5分)。 enentity decoder is port (adrin: in std_logic_vector(2 downto 0); deout: out std_logic_vector(7 downto 0) );end decoder; 三八译码器2、某器件结构体描述如下。(10分)(1)试判定器件功能(4分);(2)试用CASEWHEN语句对结构体改写(6分)。ARCHITECTURE archAA OF AA ISbeginPROCESS (s,a0,a1,a2,a3) beginif s=00 then y=a0; elsif s=01 then y=a1; elsif s=10 then y=a2;else yytyyy=“a3”; End Case;四、 分析下例程序,给带“*”的语句加上注释,给出设计的结果。(35分)程序1(10分)library ieee;use ieee.std_logic_1164.all;entity py isport (db:in std_logic_vector(7 downto 0);*db为输入端口范围是从0-7 e_num, o_num:out std_logic);* e_num, o_num为输出端口end py;architecture behave of py is begin process(db)variable tmp:std_logic;*在进程中定义的变量begin tmp:=0; 变量赋初值* for i in 0 to 7 loop tmp:=tmp xor db(i); *变量赋值,将tmp与db异或之后的值赋给tmp end loop; 结束循环* o_num=tmp; 将tmp赋给0_num* e_num=not tmp; *将tmp非赋给 e_num end process;end behave; 该程序设计的是:8位奇偶校验电路程序2(12分)library ieee;use ieee.std_logic_1164.all;ENTITY fou_b IS PORT ( clk : IN std_logic; x,reset: INstd_logic; z: out std_logic );END fou_b;ARCHITECTURE behave OF fou_b IS signal state : std_logic_vector(1 downto 0); constant sa :std_logic_vector(1 downto 0) := 00; * constant sb :std_logic_vector(1 downto 0) := 01; * constant sc :std_logic_vector(1 downto 0) := 10; * constant sd :std_logic_vector(1 downto 0) := 11; *BEGIN PROCESS (clk,reset)BEGINIF reset = 1 THEN state IF x=0 THEN state = sb; * else state IF x=1 THEN state = sc; * else state IF x=1 THEN state =sd; * else state IF x=1 THEN state = sa; * else state state if x=1 then z=1;else z z=0; *end case;end if; end process;END behave;(1)该设计描述的是:有限状态机(2)请画出该程序描述的状态转换图0/01/01/0sa1/00/00/00/0sbscsdx/z1/1RESET0/01/01/0sa1/00/00/00/0sbscsdx/z1/1RESET程序3(13分)LIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;entity decoder_e is port ( A: i
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年新疆客运资格证实操试题
- 2025年创新创业管理专业研究生入学考试试题及答案
- 未来的生活想象想象并描写细节类作文(6篇)
- 小品(卖药之八仙过海)剧本
- 某超市讲解服务制度
- 2025年柔性制造单元(FMC)项目提案报告
- 运动场馆设计与运营合作协议
- 2025年压缩天然气项目申请报告
- 2025年电梯司机(中级)电梯设备维护保养与故障排除测评试题
- 2025年物流师(初级)职业技能鉴定试卷物流企业人力资源战略规划
- 基于微信小程序的图书馆座位预约系统的设计与实现
- 内河交通安全管理条例
- 《白癜风的预防知识》课件
- 住宅工程质量通病防治方案及施工措施
- 酱料生产知识培训课件模板
- 高墩(40m高)安全专项施工方案(专家)
- 肠梗阻护理查房(小肠减压管的应用)
- JGT266-2011 泡沫混凝土标准规范
- 档案密集架采购投标方案(技术标)
- 诊所的可行性报告
- 中南大学工程测量实习报告
评论
0/150
提交评论