EDA系统板实验指导书-TEST15.doc_第1页
EDA系统板实验指导书-TEST15.doc_第2页
EDA系统板实验指导书-TEST15.doc_第3页
EDA系统板实验指导书-TEST15.doc_第4页
EDA系统板实验指导书-TEST15.doc_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第一章 CPLD系统板说明一、概述EDA实验开发系统是一种多功能、高配置、高品质的EDA教学与开发设备。适用于大学本科、研究生的EDA教学、课程设计和毕业设计,也适用于大专院校、科研院所做项目开发之用。该系统采用PLD的独特控制技术,使整个主控制系统在主板上的控制逻辑实现了最优化,减小了系统面积,同时增加了可靠性,使得EDA实验开发系统能满足从简单的数字电路实验到复杂的数字系统设计实验,并能一直延伸到综合电子设计等创新性实验项目。实验方法上用EDA技术实现传统硬件设计方式,彻底抛弃了传统实验中需要连接大量导线的实验方式。与有连线操作的实验模式相比,可节省宝贵的实验时间,提高实验效率,并能减少实验故障率。该系统采用集成稳压电源供电,使电源系统的稳定性大大提高,同时又具备完备的保护措施。为适应世界上多家PLD公司器件的应用,该系统采用“主板+下载板”双层结构,通过更换不同型号下载板,可与LATTICE、ALTERA、XILINX、AMD等四个著名PLD公司的产品相适配,适应了各院校不同的教学需求。 二、 下载板简介 本实验系统为用户配备了两块下载板,可以放两块下载板同时作实验。下载板置于主板的上方,一块是ALTERA的EPM7128SL84-15,另块是ALTERA的EPF8282ALC84-4,下载板的电源由主板供给,每一块下载板上都设有十针下载插口(下载口旁边还设有LED指示),可从微机并口直接下载程序至FPGACPLD。所有IO引出脚和全局信号都通过插孔在下载板上引出,供实验开发用。以上这些功能使得下载板既可与主板配合形成一个实验系统,也可作为一个理想的开发工具独立使用。下载板是实验系统的核心,可在EDA实验系统的左边和右边各放一块。每一块下载板上都有个十针下载口与微机的并口相连接,由开发系统将设计文件编程(俗称下载)到CPLDFPGA芯片中;为适应不同的PLD厂商及不同型号CPLDFPGA,设计了不同的下载板。本EDA实验开发系统配套两种CPLD/FPGA下载板。1、主要技术参数(1)EPM7128下载板板上配有ALTERA公司的CPLD芯片,EPM7128SL84-15。EPM7128SL84-15资源:密度2400门;封装PLCC84:延时5ns;IO门:68个;EDA开发软件:MAX+PLUS10.0;(2)EPF8282ALC84-4下载板板上:配有ALTERA公司FPGA芯片:EPF8282ALC84-4;EPF8282ALC84-4资源:密度l0000门;封装PLCC84;EDA开发软件:MAX+PLUS10.0;2、下载板结构(1)下载板中央放置一块可插拔的PLCC84封装的CPLDFPGA芯片。(2)下载板左下侧有一个十针插口,用于和主板相连。(3)ALTERA下载板左上角和ALTERA下载板右上角分别放一个十针插座用于下载程序至CPLDFPGA;此外,ALTERA下载板的左上角分别是全局信号插孔。(4)下载板的四周是所有IO引脚的插孔,旁边标有I0引脚的脚引。(5)下载板与主板配合使用时,可形成个完整的实验系统。(6)下载板也可作为一个开发工具独立使用。下载板的这些功能,可使其广泛用于科研开发、毕业设计、课程设计以及全国大学生电子设计竞赛赛前培训之中。三、 主板简介主要技术参数(1)实验系统电源实验系统内置了集成稳压电源,使整个电源具有短路保护、过流保护功能,提高了实验的稳定性。 主板的右上角为电源总开关,当把220V交流电源线插入主板后,打开电源开关,主板得电工作。为适用多种需要,配置了+5V,+12V,5V电压供主板和外设需要,通过右上角的插针排和插孔输出到外设。此外,还设有螺旋保险插孔保护实验箱。(2)RS232接口RS232接口通过MAX232芯片实现与计算机的串行通讯,通过接口引出信号。(3)单片机最小系统单片机最小系统的核心是ATMEL公司的AT89S52单片机,AT89S52右边的按键是复位键,按下时单片机复位,单片机的左右各放两排插孔,分别是:P0.0P1.7,P1.0P1.7,P2.0P2.7,P3.0P3.7,它们可以实现单片机实验和高级的FPGA开发。其它接口为:ALE、PSEN、RESET接口。(4)模拟量接口八个模拟量接口,通过插孔引出。(5)DA转换器DA转换器由DA0832,LM324芯片组成,(8位,05V电压输出),对应的接口序号为:数据信号:D0D7;片选信号:/CS;读有效信号OE。(6)AD转换器AD转换器和 DA转换器相临,主要由ADC0809组成,(8位)对应的接口序号为:模拟输入:IN0-IN7;基准电压:REF+,REF -;读写信号:RD,WR;输出信号:D0D7;检测信号:I0;地址锁存允许:ALE。(7)16位拨动开关16位拨动开关由KlK16组成,每一位都有相应LED(DlD16)指示,当拨码开关拨上边时输出高电平,LED亮;拨下边时输出低电平,由插孔l16输出。(8)24位按键开关24位按键开关位于主板中下方,由按键KlK24组成,有六排,第一排按下时是高电平,弹上时是低电平,由插孔J3lJ34输出;第二排按下时是低电平,弹上时高电平,由插孔J41J44输出;第三到第六排是4*4的行列式键盘;由L1L4,H1H4输出。(9)静态显示数码管静态显示区由数码管DP1DP6组成,由插孔输出,供静态显示用。(10)双列直插40插座及下载口区 该区主要由双列直插40封装的51系列单片机芯片、IC30l插座和JTAGl十针下载插座组成。IC30l的上下左右各放两排IO接口全局信号插孔,旁边标有脚号,可直接从计算机上下载程序到51单片机芯片。(11)彩色LED显示区一部分是8位红色发光二极管,它们构成一环状,高电平亮,可作为状态指示用;另一部分是交通灯,由东西南北四组红绿黄发光二极管构成,高电平亮。(12)数字时钟源主要是根据实验板面板上的标注,通过短路帽的不同接插方式,来获得不同的分频比,使目标芯片获得不同的时钟频率信号。(13)动态扫描显示区系统的显示采用8位8段共阴或共阳数码管(高电平有效),所对应的接口序号为:段码:a,b,c,d,e,f,g,h;位选:L1,L2,L3,L4,L5,L6,L7,L8。(14)蜂鸣器蜂鸣器(高电平TTL驱动)从其下方对应输入口,会产生lKHz的信号响声。(15)继电器控制区继电器控制区主要由K1K7七个继电器及其驱动电路组成,高电平TTL驱动,输出接口分别为J99,J88,J77。(16)EEPROMEEPROM(AT29C256),用来保存数据信息,接口序号为:数据线:D0D7;地址线:A0A14;读有效信号:OE;写有效信号线:WE;片选信号线:/CE;(17)系统控制区系统控制区位于主板的左边下载板下面,主要由EPM7128控制主板的逻辑功能,提高了系统的稳定性。(18)下载板接口两个下载板接口位于主板的左边和右边,可以同时放两块下载板。四、 主要实验项目本实验系统由于采用模块化设计,各模块之间既相互独立,又可相互组合,完成各种类型实验。其中包括验证性基础实验,综合性、设计性、创新性实验以及研究开发性、创新性、及全国电子大赛赛前培训实验等。以下列举了本实验系统能做的部分EDA技术课程实验项目。EDA技术课程实验实验一 38译码逻辑设计(图形法)实验二 组合逻辑电路设计(一)实验三 组合逻辑电路设计(二)实验四 基本触发器电路设计实验五 七人表决器电路的设计实验六 英语字母显示电路实验七 编码器的设计实验八 七段显示译码器的设计实验九 异步计数器的设计实验十 多路选择器的设计实验十一 四位比较器电路的设计实验十二 四位全加器的设计实验十三 四位移位寄存器的设计实验十四 设计一个8位串行数字密码锁控制电路实验十五 频率计的设计实验十六 出租车计费器的设计第二章 实验实验一 38译码逻辑设计(图形法)一、实验目的l、学习并掌握MAX+PLUS10.0 Altera CPLD软件开发平台。2、通过一个简单的38译码器的设计,掌握图形编程的使用方法。3、掌握组合逻辑电路的静态测试方法。二实验步骤(1)进入windows操作系统,打开MAX+PLUS10.0。1、启动FileProject Name菜单,输入设计项目的名字。点AssignDevice菜单,选择器件(本设计全选用EPM7128) 。见图2.1.1。2、启动菜单FileNew,选择Graphic Editor File,打开原理图编辑器,进行原理图设计输入。 图2.1.1(2)设计的输入1、编辑环境下放置一个器件 在原理图的空白处双击鼠标左键,出现图2.1.2。 在光标处输入组件名称或用鼠标点取组件,OK即可。 如果安放相同组件,只要按住Ctrl键,同时用鼠标拖动该组件 图2.1.3为组件安放结果图2.1.2 图2.1.32、在器件的管脚上添加连线 在元件引脚附近,鼠标光标自动由箭头变为十字。按住鼠标左键拖动,即可画出连线如图2.1.4。 图2.1.43、保存原理图单击保存按钮,对于第一次输入的新原理图,出现类似文件管理器的图框,选择合适的目录和名称保存刚才输入的原理图2.1.4,原理图的扩展名.GDF,本实验中取名为:EDA.GDF。(3)原理图编译启动MAX+PLUSIICOMPILER菜单,按START开始编译,生成.SOF和.POF等文件,以便硬件下载和编程时调用,同时生成.RPT文件,如图2.1.5。 图2.1.5(4)管脚的重新分配锁定:启动MAX+PLUSFLOORPLIN EDITOR菜单命令,出现如图2.1.6所示的画面: 图2.1.6FLOORPLAN EDITOR显示该设计项目的管脚分配,这是由软件自动分配的。用户可随意改变管脚分配。管脚编辑过程如下(见图2.1.7):1按下CURRENT ASSIGNMENTS FLOORPLAN,所有的输出都会出现在UNASSIGNED NODES栏框内。2用鼠标按住某输入输出门名称,并拖到下面芯片的某一管脚上,松开鼠标左键,使完成一个管脚的分配。(5)实验电路板上的连线用拨码开关的低三位代表译码器输入(A,B,C),将之与ALTERA(EPM71288415芯片)的35,36,37芯片的管脚相连;用LED来表示译码器的输出,将它们与 54,55,56,57,58,60,61,63管脚相连。(我们实验例程的管脚分配结果)ABCLED0LED1LED2LED3LED4LED5LED6LED70001000000010001000000010001000001100001000000100001000101000001000110000001011100000001注意:以上实验电路板上的连线可根据自己对管脚的锁定情况而定。图2.1.7(6)器件的下载与配置1启动MAX+PLUSPROGRAMMER菜单,出现如图2.1.8所示对话框。2选择JTAGMULTIDEVICE JIAG CHAIN菜单项。3启动JTAGMULTIDEVICE JTAG CHAIN SETUP菜单项。4点击SELECT PROGRAMMING FILE按扭,选择要下载的.pof文件(对于MAx7000S系列),然后按ADD加到文件列表中。5如果不能正确下载,可点击DETECT JTAG CHAIN INFO按扭进行测试,查找原因。最后按OK退出。6这时回到图2.1.8的状态,按CONFIGURE按扭完成下载。 图2.1.8附:用硬件描述语言完成编译器的设计(1) 生成设计项目文件。(2) 启动FILENEW菜单命令。如图2.1.9 图2.1.9(3) 选择TEXT EDITOR FILE,点击OK。(4) 键入程序如下:library ieee;use ieee.std_logic_1164.all;entity eda38 isport( d:in std_logic_vector(2 downto 0); q:out std_logic_vector(0 to 7);end entity eda38;architecture bhv of eda38 isbeginprocessbegincase d iswhen 000=qqqqqqqq null;end case;end pr

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论