




已阅读5页,还剩10页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
Quartus自从9.0版本以后就没有自带仿真工具。需要进行仿真要另外安装仿真工具。下面就以altera-modelsim6.6d 版本介绍一个简单的VHDL语言编写的程序的仿真步骤。Quartus工具为11.0版本。1).新建一个工程。以与非门为例。打开quartus11.0工具栏的file-new-New Quartus II Project.点击OK。点Next创建工程文件夹,如andnotgate。输入工程名称。点Next先别管它,点Next选择芯片型号,因为是只是仿真,可以随便选。或者默认。点Next.选择仿真工具,这里选择ModelSim-Altera.点Next.点Finish。新建了一个工程。2).向新建工程添加VHDL源文件File-new-VHDL File-OK编写源程序:library ieee;use ieee.std_logic_1164.all;entity andnotgate isport(a,b:in std_logic; c:out std_logic );end entity andnotgate;architecture rt1 of andnotgate isbegin csave as保存。编译源文件:点击Start compilation编译成功。3).利用modelsim进行波形仿真要进行仿真必须先创建一个testbench的仿真激励文件。testbench文件的编写可以利用软件提供的模板进行修改。生成testbench模板processing-start-start test bench template writer-OK打开新生成的testbench模板。在新建工程里的simulation-modelsim里的后缀为.vht的文件。修改testbench文件,主要工作是加入要输入的信号以及输出的时间。生成的模板为LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY andnotgate_vhd_tst ISEND andnotgate_vhd_tst;ARCHITECTURE andnotgate_arch OF andnotgate_vhd_tst IS- constants - signals SIGNAL a : STD_LOGIC;SIGNAL b : STD_LOGIC;SIGNAL c : STD_LOGIC;COMPONENT andnotgatePORT (a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;BEGINi1 : andnotgatePORT MAP (- list connections between master ports and signalsa = a,b = b,c = c);init : PROCESS - variable declarations BEGIN - code that executes only once WAIT; END PROCESS init; always : PROCESS - optional sensitivity list - ( ) - variable declarations BEGIN - code executes for every event on sensitivity list WAIT; END PROCESS always; END andnotgate_arch;修改后LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY andnotgate_vhd_tst ISEND andnotgate_vhd_tst;ARCHITECTURE andnotgate_arch OF andnotgate_vhd_tst IS- constants constant clk_period: time := 40 ns; -定义一个时间常数。 - signals SIGNAL a : STD_LOGIC;SIGNAL b : STD_LOGIC;SIGNAL c : STD_LOGIC;COMPONENT andnotgatePORT (a : IN STD_LOGIC;b : IN STD_LOGIC;c : OUT STD_LOGIC);END COMPONENT;BEGINi1 : andnotgatePORT MAP (- list connections between master ports and signalsa = a,b = b,c = c);init : PROCESS - variable declarations BEGIN -给变量a、b输入信号。 - code that executes only once a=0;-20ns a输出为0.b=0;-20ns b输出为0.c=1,输出正确wait for clk_period/2;a=1;-20ns a输出为1b=1;-20ns b输出为1,c=0,输出正确wait for clk_period/2;a=1;bsettings-simulation-compile test bench-Test Benches按照上述输入test bench name,这里为andnotgate.在第二项输入testbench文件里的实体名,这里为andnotgate_vhd_tst在第三项输入testbench文件里的实例名,这里为andnotgate.在File name 一栏,选择生成的testbench模板。点击Add。全部OK3).查看仿真波形Tools-run eda simulat
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 厦门名人考试题及答案大全
- 色彩八级考试题目及答案
- 三类人员安全员A证考试题库及答案
- 三国演义必考试题及答案
- 2025年高端婚车租赁服务合同样本
- 2025年住宅交易合规补充合同细则
- 2025借款合同银行调头借款协议
- 2025年安全知识考试题库与答案备考资料
- 2024年大班元宵节主题活动教案及反思大班元宵节主题课程
- 急救考试题及答案
- 头皮健康与头发生长关系的研究
- Odoo面试题及答案
- 2025年全国I卷英语 高考真题
- 科技论文写作 第2版 课件 第1-5章 科技论文写作概述-英文科技论文的写作
- 北京车牌结婚过户协议书
- 赃款退还协议书
- 中华护理学会团体标准|2024 针刺伤预防与处理
- 肌少症知识试题及答案
- 北京市石景山区2025年中考一模英语试题(含答案)
- 2025年教师参加初中英语新教材培训心得体会
- 2025-2030中国陶瓷涂料行业市场发展趋势与前景展望战略研究报告
评论
0/150
提交评论