电子毕业论文-基于CPLD多功能等精度数字频率计的毕业设计_第1页
电子毕业论文-基于CPLD多功能等精度数字频率计的毕业设计_第2页
电子毕业论文-基于CPLD多功能等精度数字频率计的毕业设计_第3页
电子毕业论文-基于CPLD多功能等精度数字频率计的毕业设计_第4页
电子毕业论文-基于CPLD多功能等精度数字频率计的毕业设计_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2013 届 本 科 毕 业 设 计 ( 论 文 )绪论测频一直以来都是电子和通讯系统工作的重要手段之一。高精度的测频仪和频率发生器有着广泛的市场前景。以往的测频仪都是在低频段利用测周的方法、高频段用测频的方法,其精度往往会随着被测频率的下降而下降。该测频仪利用等精度的测频原理,保证了整个测试范围内恒定的测试精度。在器件选择上,该测频仪采用Atmel公司生产的AT89C51单片机和Altera公司所生产的MAX 7000系列中的EPM7128SLC84-15。AT89C51是一种低功耗、高性能的8位CMOS单片机。片内有4KB的闪烁可编程/擦除只读存储器(FPEROM),并且与MCS-51引脚和指令系统完全兼容。芯片上的FPEROM允许在线或采用通用的编程器对其重复编程,可循环写入/擦除1000次。并且有宽工作电压范围、存储数据保存时间长(10年)等优点。是一款性价比较高的单片机。EPM7128SLC84-15是在Altera公司的第二代MAX结构基础上,采用先进的氧化物半导体E 2PROM技术制造的。可容纳各种各样、独立的组合逻辑和时序逻辑函数。可以快速而有效的重新编程,并保证可编程擦除100次。EPM7128SLC84-15包含128个宏单元。每16个宏单元组成一个逻辑阵列块,同时,每个宏单元有一个可编程的“与”阵和固定的“或”阵,以及一个具有独立可编程时钟、时钟使能、清除和置位功能的可配置触发器。单片机的软件采用汇编语言编写,CPLD的各种功能块用VHDL语言描述实现。测频仪器性能也各不相同。该测频仪将CPLD的高速高可靠性、单片机的灵活控制功能和等精度测频原理较高的测量精度相结合,具有速度快、功能全、精度高等特点,适合于教学及科研工作使用。2013 届 本 科 毕 业 设 计 ( 论 文 )第 1 章 等精度测频原理1.1 等精度测频原理等精度测频的实现方法可以用图1-1来简化说明。 DQ COUNT1CLKENLCR OUT1COUNT2CLKENLCR OUT1图 1-1 等精度测频原理框图图 1-1 中的门控信号是可预制的宽度为 Tpr 的一个脉冲。COUNT1 和 COUNT2是两个可控计数器。标准频率信号从 COUNT1 的时钟输入端 CLK 输入,其频率为Fs;经整形后的被测信号从 COUNT2 的时钟输入端 CLK 输入,设其实际频率为 Fxe ,测量频率为 Fx。当门控信号为高电平时,被测信号的上沿通过 D 触发器的 Q 端同时启动计数器 COUNT1 和 COUNT2。对被测信号 Fx 和标准频率信号 Fs 同时计数。当门控信号为低电平时,随后而至的被测信号的上沿将使这两个计数器同时关闭。设在一次门控时间 Tpr 中对被测信号计数值为 Nx。对标准频率信号的计数值为 Ns。则:(标准频率和被测频率的门宽时间 Tpr 完全相同)XSFN就可以得到被测信号的频率值为: ()*XSX误差分析如下:2013 届 本 科 毕 业 设 计 ( 论 文 )在一次测量中,由于 Fx 计数的起停时间都是由该信号的上升沿触发的,在Tpr 时间内对 Fx 的计数 Nx 无误差;在此时间内 Fs 的计数 Ns 最多相差一个脉冲,即 ,则下式成立:1etAXSFN()xeetA所以有:)*XSX(xeFNetA根据相对误差公式有: xexeA代入整理得: xeSFtN又因为: 1tA所以: SetN即: 1xeSFA其中: *SprST由以上推导结果可得出下面结论:(1) 相对测量误差与频率无关。(2) 增大Tpr或提高Fs,可以增大Ns,减少测量误差,提高测量精度。(3) 标准频率误差为Fs/Fs,由于晶体的稳定度很高,标准频率误差可以进行校准。(4) 等精度测频方法测量精度与预置门宽度和标准频率有关,与被测信号的频率无关。2013 届 本 科 毕 业 设 计 ( 论 文 )在预置门时一间和常规测频闸门时间相同而被测信号频率不同的情况下,等精度测量法的测量精度在整个测量范围内保持恒定不变,而常规的直接测频法(在低频时用测周法,高频时用测频法),其精度会随着被测信号频率的下降而下降。1.2 基本性能指标(1) 频率测试功能:测频范围0.1Hz60MHz,测频精度:测频全域相对误差恒为百万分之一(由LED的显示位数决定)。(2) 周期测试功能:信号测试范围与精度要求与测频功能相同。(3) 脉宽测试功能:测试范围0.11S1S,测试精度0.01S。(4) 占空比测试功能:测试精度1%99%。2013 届 本 科 毕 业 设 计 ( 论 文 )第 2 章 硬件电路设计2.1 系统原理框图系统组成原理框图如图2-1所示。由一片CPLD完成各种测试功能,对标准频率和被测信号进行计数。单片机对整个测试系统进行控制,包括对键盘信号的读入与处理;对CPLD测量过程的控制、测量结果数据的处理;最后将测量结果送LED显示输出。被测信号整形电路主要对被测信号进行限幅、放大、再经施密特触发器整形后送入CPLD。用50MHz的有源晶振作为CPLD的测试标准频率。电源部分采用220V交流电经变压、滤波、稳压后得到5V电压供整个系统使用。单片机由外接12MHz标准晶振提供时钟电路。50MHzP0P2P1 A0B0 图 2-1 系统原理框图2.2 键控制模块图2-2为按键接口电路,因为按键数量较少,所以采用独立式按键结构。2013 届 本 科 毕 业 设 计 ( 论 文 )ABCDEFGH74LS165/ LCPQGNDAT89C51P3.03.1P3.23.5图2-2 键盘接口电路每个按键各接一根输入线,从而使一根线上按键的工作状态不会影响其它线上的工作状态。八个按键通过一片并入串出的74LS165接入单片机,单片机的P3.0口为串行数据输入线,P3.1口提供741.S165移位所需的时钟信号,P3.2口控制74LS165的并行置入和串行移位信号线。P3.5为信号封所线,防止按键按下时的强电流对显示造成影响。按键的消抖用软件延时的方法实现。八个键分别为测频、测周期、测占空比、测脉宽、自校五个功能键和0.1秒、1秒、10秒三个时间键。74LS165的8个I/0口通过3K的电阻接高电平,当扫描到某一位为低电平时表示有按键按下。2.3 显示模块测试结果输出显示模块如图2-3所示。8位8段LED采用共阳极接法,显示方式为静态显示,静态显示方式显示亮度较高,而且显示状态稳定。根据实际亮度需求每段LED接5K的限流电阻。AT89C51的P3.0口为数据输出线,数据经8片出入并处74LS164以串行方式送入LED(数据从最右端串行移入),每片74LS164驱动一2013 届 本 科 毕 业 设 计 ( 论 文 )只LED。P3.1为串行移位时钟线。P3.4为数据封锁线。abcdefgdpabgfdcdpQA B QC D QE F QG HCLRLKA BabcdefgdpabgfdcdpQA B QC D QE F QG HCLRLKA BabcdefgdpabgfdcdpQA B QC D QE F QG HCLRLKA B+5V .+5V .7 7 76 6 64 4 42 2 21 1 19 9 910 10 105 5 5P3.0P3.1P3.474S164 74LS164 74LS164 AT89C51图2-3 显示模块2.4 单片机主控模块如图2-4所示,该模块主要完成单片机对CPLD的控制。单片机P1口的8根口线为控制信号线,具体控制功能如下:Pl.0 (END):脉宽计数结束状态信号,END=1计数结束。Pl.1 (TF):TF=0时等精度测频,TF=1时测脉宽。P1.2 (CHOISE):自校/测频选择,CHOISE=1测频,CHOISE=0自校。P1.3 (START):当TF=0时,作为预置门闸,门宽由键盘输入值决定,START=1时预置门打开,START=0时关门;当TF=1时,START有第二功能,此时,当START=0时测负脉宽,当START=1时测正脉宽,利用此功能可分别获得脉宽和占空比数据。P1.4 (CLR/TRIG):当TF=0时系统全清零功能,当TF=1时CLR/TRIG的上跳沿将启动COUNT2,进行脉宽计数。P1.5 (EEND):等精度测频计数结束状态信号,EEND=0时计数结束。P1.6 (ADRA);P1.7 (ADRB):计数值读出选通控制。若令AD=ADRA, ADRB,则当AD=00、01、10、11时可从P0口和P2口由低8位至高8位分别读出两组4个8位2013 届 本 科 毕 业 设 计 ( 论 文 )计数值。单片机P0口的8根口线接CPLD的A0A7口,输出的是标准频率的计数值。单片机P2口的8根口线接CPLD的B0B7口,输出的是被测频率的计数值。 P1.0.P1.2.3P1.4.5P1.6.7RST/VPDP3.0.1P3.2.P3.4.5P3.6.7XTAL12VSVCP0.1P0.2.3P0.4.5P0.6.7/EAL/PSEN2.0P.12.P.32.4P.52.6P.7AT89C51 A01A23A45A67B01B23B45B67FSTDFINCHEKF40398765343213029827625423215404175182730294250237ENDTFCHOICESTARTCLENDARDB4 31 2GND50MHzCPLDGND12MHz1234567891012314516718920cR图2-4 单片机主控模块2.5 输入信号整形模块图2-5为输入信号整形电路。被测信号经限幅电路(由两片IN4148组成)限幅后,由两级直接耦合放大器放大,最后再由施密特触发器整形,送入CPLD进行测频。该电路R,C参数根据实际所测信号的带宽确定,如频率较高(大于70MHz)则电路和PCB布线都需作较大改动。本测频仪调试阶段所用信号为信号发生器输出的标准信号,故对该电路部分未做深入分析,如果要做实际应用,该电路部分还需进一步研究。2013 届 本 科 毕 业 设 计 ( 论 文 )+5V+ + 4093U1R4R3R2R1V13DG13CV23DG13CR7R6C3C4R5C2C1D2D11N4181N418 C5C6R8GND图 2-5 输入信号整形模块2.6 CPLD 实现模块该系统的测试功能都是由CPLD完成的。根据等精度的测频原理,利用VHDL语言编写各部分逻辑模块,实现框图如图2-6所示。 OUT01T2OU3T45OUT67CLKRABCN1OUT01T2OU3T45OUT67CLKRABCN2FINSTARTCLFDCLK1ENDL2CRCUT1FINSTARTCL PULENDCOTRL2 CLK2FSDNLPUCLKOUTGATECHKFFINOCHOUTCHEKFCHOICEFINPUTSTARTCL/IGFSTD ADRBENDENDB012B345B67A012A345A67图2-6 测试原理框图2013 届 本 科 毕 业 设 计 ( 论 文 )FCH模块为测频、测周、自校选择控制模块,CONTRL1控制标准频率和被测频率的计数装置,CONTRL2为脉宽和占空比测量的控制模块,COUNT1、COUNT2是两个32位的计数器,分别对标准频率和被测频率进行计数,并将计数结果由8位总线输出到单片机。2.6.1 测频/测周期的实现测频/测周期时,先由单片机给出控制信号,令TF=0,CHOISE=1,选择测频/测周,再由单片机将CLR端置高完成测试电路的初始化。接下来单片机将门控信号START置为高电平,由被测信号的上升沿将两个计数器同时打开,对被测频率和标准频率同时进行计数。定时结束后,单片机把CONTRL的START端置为低电平。在被测信号的下一个脉冲的上沿到来时,COUNT1停止计数,同时关断COUNT2对Fs的计数。计数结束后由EEND端输出的低电平来指示计数的结束,单片机即可通过ADRA、ADRB分别读回标准频率和被测频率的计数值。图2-7是控制部分电路。如图所示,当START为高电平时,紧接着FIN的下一个高电平使Q端置高,将标准频率由CLK2送入CONUT2进行计数,被测频率由CLK1送入COUNT1进行计数,同时将EEND置为高电平,作为结束状态标志。当START为低电平时,紧接着的FIN的下一个脉冲上升沿将两个计数器通道切断,并将EEND置为低电平,通知单片机计数已结束。 D QCSTARTFINCLR FSD CLK1ENDCLK2CLRC图 2-7 测频/测周期控制电路2.6.2 脉宽和占空比测量模块设计图2-8为脉宽测量电路图。在测量开始前先向电路的CLR端送一个正脉冲以便进行电路的工作状态初始化。然后将GATE的CNL端置为高电平,表示开始脉冲宽度的测量。在被测脉冲的上升沿到来时,CONTRL2的PUL端输出高电平,标准频率进入到COUNT2。在被测频率下降沿到来时,CONTRL2的PUL端输出低电平,计数器2013 届 本 科 毕 业 设 计 ( 论 文 )COUNT2被关断。最后山单片机读出计数结果,并通过测量原理中的计算公式得出脉冲宽度。CONTRL2子模块的主要特点是:电路的设计保证了只有CONTRL2被初始化过后才能工作,否则PUL输出始终为零。只有在先检测到上升沿后PUL才为高电平,然后在检测到下降沿时,PUL输出为低电平;ENDD输出高电平以便通知单片机计数已经结束;如果先检测到下降沿,PUL并无变化;在检测到上沿并紧接一个下沿后,CONTRL2不再发生变化直到下一个初始化信号到来。 D QCD QCD Q C VCFINSTAR CLRVC VC Q23GATEPLENDPULEND图2-8 脉宽测量电路图2.7 电源模块整个电路的供电电源如图2-6所示,220交流电经变压、滤波后,由一片7805将输出电压稳压在+5V。Vin VoutGND78L050.33uFC1610uFC17104C18+5VT19VB1GND1 32220V 图2-9 电源模块2013 届 本 科 毕 业 设 计 ( 论 文 )第 3 章 软件部分设计3.1 单片机主程序图3-1表示单片机主程序流程图。各种测试功能流程如下:系统初始化后,由键盘扫描子程序读入要执行的功能键。比如要执行的功能为测频功能,那么读入键之后马上跳转到测频子程序,测频子程序先置测频控制位CLR(P1.4),TF(P1.1), CHOISE(P1.2),将CPLD内的计数器清零,然后通过键盘将顶置门的时间值读入单片机,打开预置门进行测频计数,等预置门时间到后,关断预置门,CPLD关断预置门后将给单片机一个结束信号,单片机读到结束信号后,通过置ADRA,ADRB的四个状态,分四次将测频结果的32位数据读入单片机,计算后将结果转换为BCD码送LED显示输出。测周期时只要将计算结果由频率值取倒转换为周期值即可。自校子程序与测频子程序相同。脉宽的测量子程序与周期测量子程序基本一致,只是脉宽测量是在被测信号的脉宽内对标准频率进行计数,所以在CPLD内要有对上下沿的处理过程,而且技术结果为一组数据,不像测频和测周期一样同时对被测信号和标准信号进行计数。占空比子程序是在脉宽子程序的基础上,先付一个脉宽进行计数,测得计数值为N1,然后将输入信号反相,再测其脉冲宽度,测得计数值为N2,则可以计算出: 1(2)10%N占 空 比在空闲状态程序始终扫描键盘,等待输入,并在LED上显示CPUREADY的字样。执行完某一功能后程序又会回到键盘扫描状态上来。2013 届 本 科 毕 业 设 计 ( 论 文 )YYYYYNNNNN图3-1 单片机主程序流程图图3-2为测频子程序流程图,测周期、测脉宽等子程序除了计算部分有所区别外其余与测频子程序流程基本一致,这里不再详细列出。2013 届 本 科 毕 业 设 计 ( 论 文 )BCDNNYY图3-2 测频子程序流程图2013 届 本 科 毕 业 设 计 ( 论 文 )测频主程序:KEY1: LCALL CLEAR ;调LED 熄灭子程序LCALL TIME ;调Tpr时间值MOV R0, 20H CLR P1.1 ;TF=0测频SETB P1.2 ;CHOISE=1SETB P1.4 ;系统初始化(CLR=1 )CLR P1.4SETB P1.3 ;START=1DELY: ACALL D_100msDJNZ R0, DELYCLR P1.3 ;START=0EEND: MOV C, P1.5 ;将EEND状态送至CJC EENDMOV A, #PP111111B ;置P3.7(ADRA ) ,P3.6(ADRB)=0ANL P3, ANOPMOV A, P0 ;将NS 的值存入7073H 单元MOV 70H, A ;将NX的值存入 7477H 单元MOV A, P2MOV 74H, ASETB P3.6NOPMOV A, P0MOV 71H, AMOV A, P2MOV 75H, ASETB P3.7CLR P3.6NOPMOV A, P0MOV 72H, A2013 届 本 科 毕 业 设 计 ( 论 文 )MOV A, P2MOV 76H, ASETB P3.6NOPMOV A, P0MOV 73H, AMOV A, P2MOV 77H, AMOV R0, #80H ;50M标准频率转换为 16进制为2FAF080MOV R1, 0F0HMOV R2, #0FAHMOV R3, #02H ;R3为最高位MOV R4, 74HMOV R5, 75HMOV R6, 76HMOV R7, 77HACALL MUL_SUB ;调四字节乘法子程序 *SXFNACALL NDIV ;调除法子程序 XSSACALL BIN_BCD ;调二进制转换BCD码子程序ACALL EXTD ;调字节展开子程序ACALL DISP ;送LED显示RET字节展开子程序:EXTD: MOV R1, #30HMOV R7, #04HAGAN: MOV A, R0MOV R3, AANL A, #00001111BMOV R1, AMOV A, R32013 届 本 科 毕 业 设 计 ( 论 文 )INC R1ANL A, #11110000BMOV R1, AINC R1INC R0DJNZ R7, AGANRET延时0.1s子程序:D_100Ms: MOV R7, #0C8HDL12: MOV R6, #0F9HDL11: DJNZ R6, DL11DJNZ R7, DL12RETLED熄灭子程序:CLEAR: MOV R7, #08HMOV R0, #30HMOV A, #12H ;显示熄灭ABCL: MOV R0, AINC R0DJNZ R7, ABCLRET3.2 显示子程序其流程图如图3-3。显示数据由单片机的串行口P3.0送出,P3.1口提供串行移位的时钟信号,P3.4口控制数据的输出。显示的数据存放在从30H开始的工RAM单元中。串行口设为方式0。显示用查表的方式,将要显示的段形码预先存入以TAB开头的码表中。2013 届 本 科 毕 业 设 计 ( 论 文 )P3.4)8LED0P3.4N Y图3-3 显示子程序流程图具体程序如下:DISP: SETB P3.4 ;开显示控制MOV R7, #08H ;置显示个数MOV R0, #30H ;置显示缓冲区指针MOV SCON, #00H ;设串行口方式0SEND: MOV A, R0ADD A, #0DH ;设置偏移值2013 届 本 科 毕 业 设 计 ( 论 文 )MOVC A, A+PCMOV SBUF, A ;启动发送WAIT: JNB TI, WAITCLR TIINC R0DJNZ R7, SENDCLR P3.4RETTAB: DB 0C0H, 0F9H, 0A4H, 0B0H, 99H,DB 92H, 82H, 0F8H, 80H, 98H,DB 88H, 83H, 0C6H, 0A1H, 86H, 8EH,DB 7FH, 0FFH, 0C6H, 8CH, 0C1H,DB 0CEH, 86H, 88H, 0A1H, 91H,DB 86H, 0C8H, 0F8H, 86H, 0CEH,DB 0BFH, 92H, 0F0H,3.3 键盘扫描子程序该程序采用查询方式进行工作。程序开始后,先在LED上给出CPUREADY的提示字,然后进入键盘扫描方式。单片机通过74LS165不断查询键盘,当有键输入时,将键值读入到预存单元,然后采用软件消抖的方法,用软件延时10mS,再读键值,和原键值进行比较,若和原值不相同,证明是由抖动引起的。重新扫描键盘。若相同,则跳转到相应功能子程序执行。相应功能子程序开始执行后,对按键不会再响应,直至程序执行完为止,再进入键盘扫描状态。具体程序如下:KEYIN : ACALL LOAD ;装入CPUREADYACALL DISP ;调显示子程序START: CLR P3.2 ;并行置入键值SETB P3.2 ;允许串行移位MOV SCON, #000A0000B ;设置串行口模式0,启动接受WAIT: JNB RI, WAITICLR RI2013 届 本 科 毕 业 设 计 ( 论 文 )MOV A, SBUFANL A, #1FH ;屏蔽高三位(时间值)MOV R3, A ;暂存键值ACALL D_10MS ;延时,去抖CLR P3.2SETB P3.2MOV SCON, #0001000BWAIT2: JNB RI, WAIT2CLR RIMOV A, SBUFANL A, #1FHMOV 20H, ACJNE A, 21H, KEYINCJNE A, #IEH, T0_2ACALL KEY1 ;测频子程序T0_2 CJNE A, #1DH, T0_3ACALL KEY2 ;测周期子程序T0_3 CJNE A, #1BH, T0_4ACALL KEY3 ;脉宽测量T0_4 CJNE A, #17H, T0_5 ACALL KEY4 ;自效子程序T0_5 CJNE A, #0FH, STARTACALL KEY5 ;占空比测量JMP KEYIN延时10毫秒子程序:D10_MS: MOV R7, #14HLOOP1: MOV R6, #0F9HLOOP2: DJNZ R6, L00P2DJNZ R7, LOOP1RET提示字装入子程序:2013 届 本 科 毕 业 设 计 ( 论 文 )LOAD: MOV R7, #08HMOV R0, #30HMOV A, #13HLD: MOV R0, AINC R0INC ADJNZ R7, LDRET3.4 时间值输入子程序键盘设有三个时间值键,分别为0.S 、1S和10S,来控制预置门的开关时间。在执行功能子程序之前会提示先输入时间值(在LED上显示ENTER-SJ)。测高频时用0.1秒作门控时间,在满足测量精度要求下可减少计数值,减少程序运算量。测低频时,用1秒或10秒作门控时间,由其在测低于1HZ 的低频时,至少要选择10秒的门控时间,才可能使被测信号被计数一个以上的脉冲。其程序执行过程与键盘扫描子程序相同。具体程序如下:TIME: MOV R7, #08HMOV R0, #30HMOV A, #19H ;显示ENTER-SJLP: MOV R0, AINC R0INC R0DJNZ R7, LPLCALL DISPBEGIN: CLR P3.4SETB P3.4MOV SCON, 0001000BWT1: JNB RI, WTICLR RIMOV A, SBUF2013 届 本 科 毕 业 设 计 ( 论 文 )ANL A, #0E0H ;屏蔽功能键MOV R3, ALCALL D_10MSCLR P3.4MOV SCON, #0001000BWT2: JNB RI, WT2CLR RIMOV A, SBUFANL A, #0E0HMOV 22H, ACJNE A, 22H, BEGINCJNE A, #0C0H, S_10MOV 20H, #01HRETS_10 CJNE A, #0A0H, S_20MOV 20H, #0AHMOV 20H, #0AHRETS_20 CJNE A, #0A0H, S_20MOV 20H, #0AH ;START=0.1SRETS_20 CJNE A, #60H, BEGINMOV 20H, #0AH ;START=1SRETS_20 CJNE A, #60H, GEGIN MOV 20H, #64H ;START=10SRET3.5 计算及数值转换子程序单片机读入测频计数结果后,还要根据等精度测频原理进行计算,才能得到最终的测量结果。这部分计算主要包括:四字节数乘法子程序;八字节数除以四2013 届 本 科 毕 业 设 计 ( 论 文 )字节数除法子程序;二进制转换日CD 码子程序。3.6 误差来源探讨表3-1是一组实验测试数据结果:表3-1实验测试数据预置门宽 被测参考频率 被测频率值 标准频率值10s 1Hz 1 47185153010s 1Hz 1 47185432010s 1Hz 1 4718515401s 122Hz 123 499703901s 122Hz 123 499703851s 122Hz 123 499703870.1s 978Hz 990 50175190.1s 978Hz 990 50175180.1s 978Hz 990 50175190.1s 125KHz 125250 50095180.1s 125KHz 125250 50095190.1s 125KHz 125250 50095190.1s 256KHz 250500 50097200.1s 256KHz 250500 50097190.1s 256KHz 250500 5009719本频率计样机的制作和调试已全部完成,各种测试指标达到预期目标。由于没有比理论误差史高精度的频率源,所以只能作误差来源可能性的探讨,无法准确的测出其相对误差。现将误差来源作如下分析:按照等精度测频原理的理论分析,其测频相对误差应为 ,1xeSFNA即使在门宽时间为0.1S 时,其相对误差也在百万分之一以上,而现有条件无法2013 届 本 科 毕 业 设 计 ( 论 文 )提供精度在千万分之一以上的被测频率。但在实际测频过程中,被测频率每次的测试结果都稳定不变,标准频率计数值只有在个位出现误差,这和理论计算的结果是相吻合的,证明该频率计己达到预计设计要求。预计误差来源有以下儿和可能:(1) 被测频率的不稳定性(如信号发生器在产生1KHz的频率时总是在IKH和999Hz上跳动)。(2) 单片机在产生门宽信号时其上升沿和下降沿的建立时间过长,每次产生的门宽信号不一样,造成计数结果的误差。(3) 由于该频率及采用8位LED显示,最高显示精度为百万分之一,其舍去位数仍有有效数字,所以会造成低于测量精度的显示误差。第4章 结束语2013 届 本 科 毕 业 设 计 ( 论 文 )多功能等精度数字频率计的设计己接近尾声,在整个设计过程中所出现的一系列问题,使我受益颇丰。在硬件的做板过程中,由于经验不足,出现了元件布局不恰当;自定义封装过孔太小等问题。焊接时由于PCB板的制作工艺较粗糙,经常会出现焊接短路问题,排除起来比较麻烦。在调试过程中,对标准频率的计数较准确,但被测频率的计数出现了错误。由于标准频率用的是单片机的P0口按收,而被测频率用的是P2口接收,P2口在单片机的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论