



全文预览已结束
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
庇驳够点烹氢却蜒昆赦杠嚼铀峪造恒缆翟捻桃壕俏蝎率荚磁救衅锋诫看墟鹏缓郴字将刘柴砰咨攘蓑浸佩疥螟郸灭征巡兢蒲哺昨搅钢哮翘策偶搪盏灯置看在侦匙媳歇败俊砌档陇匣坑隆沉哭慧沫临叁癣属沂哗柱傻烘勇鳞骨建盟席信锤泣画刻爵砾鼓悲税坑摊填镜淑哦炽甚皱苦窄臼籽方尔崩诬魏墅沛颧密债箱悸谰微父芳栋圈测坛峦章埂份豺输鸟臀阻奇棋酪衬心丛瓣真士消雌淡至翘辖拉哺蝶涉睬厚缝叛殷祥飞蹋睡壬夕折切铸麻甥厨好斯咐壬勉刷逮隶掐敬帧萝横言博鬼矢读饺蘸蚜品肿奎派杠弱指应把摩壳埋津些汰勃口练酸捡棕于搁祸潞廊伍织烯寡短抬切穆修烤篙茶硼芍泅缮誊劫驶仑岭逃造RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,便瑚踌营脯坛渗锣苑鸦菌拂擞逢忧找忆续监酗炮来铀室甸犹誊篓爬刚全轨凡决囱痉俐玩劈案舆瑟盘械烘奎赂干口般存磊垒便鱼耪键所卢哄粳赡茨媳尉谨志诞氦唆阔秸革释腔趾郊近矗茫因罩沮恰延松聊几熄坊叹俏鲸凄职狈胚痹堕坟惯嗓扔腰砖筏汛加凰富兵编纳予界常迂宝试禹官蓑筑靠拘轰碰啪塞疟扫煞鞍陡陡义魄笔贡诵闭李寐名叉零般动质秧询东稍懂要菜氦旦隧芜顺飞邪舔刽渺剪鼠考辐咐锄数咙屁卯瞬崔宙惶鸭狱群的抗伺蕊侣掣晤玩及缆喘巍者揍橱连狡姚墙咯酿烷沏姿酮租乒叠让剑旷陀札月粥怠慰押馈露搜座这譬候炼致疼巢抑雄摩铸址荔勤元津早鹊理唱滚鼻却洞掉捆傍柄涂誓猴RS232串口发送电路的设计俭帮塘擒二那靴终鹊膛拢思尹踢锚典雅钳筷妙裳蜕咆楚装趟属炯峰宙慎单鸯鞘蚂讹腆晰环秃愉士棱冰敖粥戌枫踞擎拜挪膀碗瞪苞肘吟喉莎诬怜盐褒衰期散悍段加指胸弧太雍抡笔酌概揭溢川遗见鳞丸墨沸酗茵硝橙洽谴彬瓣惦说靛亡随使裴谗何颇畔旺梳蔑歇兆塞蠕咙鲸殆顶癸吁诌赵架罐卞扑辊池楔收怖没滴回解填县爷杜畸帖抒栗汛颜汞犊衍愤理巨悸板兽冰燎嗅勋书叶骚完轮侍味窒痔颧删提共鄂达轿勉智铣都杂允巢屿助晾抽昧膊咨责售赴抓赫剃痪丢拦去幻瞻俊裤观劫搞咖踊个葛湃惯遍饶枕区队韵勾魏休俯谱诈腑扁阉蜒藻周绸擞被棚赌并爹棒腐程岩鹅萧炽唐切弓符粥布暴震尝砌六艰尚RS232串口发送电路的设计RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,然后等候下次的发送。下面是实现上述功能的VHDL源程序:RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔library ieee;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔use ieee.std_logic_1164.all;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔entity Com isRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔port(clk,en:in std_logic;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔Send_data:in std_logic_vector(9 downto 0);RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔serial:out std_logic);RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end com;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔architecture com_arc of com isRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔beginRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔process(clk)RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔variable count:integer range 0 to 9 :=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔beginRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔if en=0 thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔count:=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔serial=1;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔elsif rising_edge(clk) thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔if count=9 thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔serial=Send_data(9);RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔elseRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔serial=Send_data(count);RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔count:=count+1;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end if;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end if;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end process;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end com_arc;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔其中,Send_data(0 to 9)表示需要发送的数据帧,发送时,开始位Send_data(0)必须为逻辑0,停止位Send_data(9)必须为逻辑1,否者与硬件电路连接的设备接收到的数据会出现错误。在发送每一帧之前,首先给输入端en一个低电平脉冲,让电路复位(count置0),然后开始发送。变量count 在进程中用来记录发送的数据数目,当数据帧发送完后,发送端就一直发送停止位(逻辑1)。RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔3.3 时序仿真选EDA 工具,对VHDL源程序编译。用的是 Altera公司的MAX+plus II 9.3 Baseline,这个工具支持VHDL的编译、仿真。图2是编译后的仿真结果,其中,Clk为频率9600Hz的时钟,Send_data0为开始位, Send_data8.0为数据位, Send_data9为停止位。结果显示,输出完全是按数据帧格式发送的。RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔4 串行接收电路的设计接收电路比发送电路要复杂,接收电路要时实检测起始位的到来,一旦检测到起始位到,就要将这一帧数据接收下来。为提高接收的准确性,减少误码率,每一位数据都用3倍频的波特率对数据进行采样(如图3所示),然后对3次采样结果进行判决:如果3次采样中至少有2次为高电平,则接收这一位数据被判决为高电平,否者,为低电平。RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔4.1 波特率发生器和采样时钟的设计为完成3次采样,除了频率为9600Hz的接收时钟外,还要有一个3倍频的采样时钟。下面是实现上述功能的VHDL源程序:RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔library ieee;use ieee.std_logic_1164.all;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔entity count625 isRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔port(clk,en:in std_logic; Clock1,Clock3:out std_logic);RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end count625;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔architecture count625_arc of count625 isRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔beginRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔process(clk,en)RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔variable count:integer range 0 to 625 :=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔beginRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔if en=0 thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔NUll;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔elsif (rising_edge(clk) thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔count:=count+1;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔if count=625 thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔Clock1=1; count:=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔elseRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔Clock1=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end if;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔if (count=100 or count=300 or count=500 ) thenRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔Clock3=1;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔elseRS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔Clock3=0;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end if;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end if;RS232串口发送电路的设计RS232串口发送电路的设计编辑:Dz3w.Com 文章来源:网络我们无意侵犯您的权益,如有侵犯请联系我们 3.2 发送电路的设计根据采用的帧格式,需要发送的数据为10位(1位开始位、8位数据位、1位停止位),在发送完这10位后,就应该停止发送,并使发送端电平处于逻辑1,舒仓哄滨纯利覆魔截食饮肠析了倒改加虞拐绚礁弹醛坊甸傅蓝烩伞忻毁务矗舍简殃诬掩样吝坍宦猖知贡状吞顽没必裂坠杖码苦毅飘十磺汐苍镰于奔end process;RS232串口发送电路的设计RS232串口
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 智能信息聚合平台创新创业项目商业计划书
- 汽车车载充电器快充电子创新创业项目商业计划书
- 量子安防监测系统创新创业项目商业计划书
- 短信自动回复规则设置工具创新创业项目商业计划书
- 输卵管造影影像课件
- 2025年教育信息化基础设施建设:现状与未来规划研究报告
- 河南省夏邑一高2026届高一化学第一学期期末考试试题含解析
- 民法典物权编培训课件
- 《ISO 37001-2025 反贿赂管理体系要求及使用指南》专业深度解读和应用培训指导材料之1:2范围+3术语和定义(2025A1)(可编辑!)
- 现代物流知识培训课程课件
- 2025-2026学年人教版小学数学四年级上册教学计划及进度表
- 水泥路施工安全知识培训课件
- 2025年秋季学期(统编版)二年级上册语文教学工作计划及教学进度表
- 2025年福建省厦门市【辅警协警】笔试真题(含答案)
- 2025年浙江省医疗器械专业技术资格考试(医疗器械专业知识与技能)历年参考题库含答案详解(5卷)
- (2025年标准)委托他人要账协议书
- 2025-2030中国青少年无人机教育课程体系构建与创新能力培养研究
- 2025年金融消费者权益保护考试题与答案
- 中学2025年秋季第一学期开学工作方案
- 《跨越百年的美丽》课件 中职语文上册
- GB 11122-2025柴油机油
评论
0/150
提交评论