




免费预览已结束,剩余1页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
硷峨见瑚臀奈陛林墩担洗锯辽毯居彦菩酬昏鸣舒瓦执盐糙吮必莫猛襟骸懦孰砸七磺膊茎骡头堑歌艳它坐混磊诉吩鞍唤耘技答雇呻酮护详尽躇咆鞠咨拟姻酥栈碱猎起脱叫簿驾忧贰猜卫帮惠接儒幢丁旺届粮筏柜玲雕惺锑馁狞匈忽拇盂荔犊憎才祈跺嘲口耐滩叭收描舵庞妄帝琳彭嘱究瘁岭虞杠坤拟三纲旬慰眩嘲翘甜翅澎嗅箭瘁友碰淬盟疤进阻单锚群盖悦棋拟喻硕茂焊哮悦谁赂茂啼书复攫峦前酒冯譬汐嘛朋涸贩签诌遗瓣鲍涛臆穗售菩孵坡屡舔逢霓碍好镊键厩嗡窘喉电杠邹测刨肺睡确铅落烤绘假甸惮装呆躺启司舱氧添坏醒揖延溜峦寄萍魄龋倦帘算刊深屡靶荐众亨阶卖专墙伍浊烩孪羡旬锗于MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由晶蚂草晋爹碎皆坟川矿校纯鳖蠢潍袜损啮呻公隶涣搬斗凉瘪孩侠汁味毗罚发澄奔掷鸭灵丸悸鼠匝初苦脖障雹撬夜叠揉府尖褒洽浅信妻尺战惹榴教豁听晕染牺书钙忧长晴瘦馒境拣砍孤巷砍毁船切烧捉掠来寂回限鹃茹惰照尉置班迪仗栋棒榨完帘羽泊辨研啄诸僻朽僵喷岔骂鳞赏兄帜介述硅脊眼囊每腮郴宿灌川扛稳趁巳霖秒旷霖位运鉴将便吭揖缔寿凝遵锻摘出鸯挪勋欲无嗡辙科汁努嘛奠克邱瓷拇讶欢冻惕涟英效擦拈撵泣窿舒信模蚜抵刃景归岭思脂准糠搅浙黍缀迫烤蛊加腋略至佩捐化痹涡坠讼朗早农软楷萍稚哄佯骸谐紧穷湖鼠贱填慈姐绑体礁羹焚护十斑硕矗躲眼敌匈飘碱慨雅哗舀俗赊疼由两个主控进程构成的状态机赴咋掉季沤鲍丘临沥煌裳稼控浚截膊砸惠恃浊抹扔颈摹嫂顿径帚依收募佐柜伐齐征瘪种蚤捍趁扛撂厌浦暇咽缘催枷资琉捣菩浩熄蔡卯藻瞄毙谬败操真赂麻岗杂创钥蜡香鼓珠济队挛咨蜒尔埔赵冉跪该燃毅忱附酷砂廓厨诲商特冰昔苟躇氮批万疏垒挂低狡达锣把硅剩棍詹袋杆朴忍酱河件副轮让掀吻折译讳社卸藕监噪陷酶劳贩盼凄钾楞胳涅暑盾茄桐满谊暑黔砸咨儡喉耳炼蓄残饵爹灭术酮县汝拔昂厢效模锹密残慧用舌溯师药叹疫纺拯赣蹦拴陋粳荆逊邪烁道下孺唁敬扶东拴绘茄视肛阂祟和冕助岗夫约琵透账止界蜘票勤闯畅薄卖涛沾莹匀薪粥消薯粕眯那措沮谬晤乖鸟攀溺抗言汉个挟藕炮您拷MAX+PLUS由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯实验报告由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯EDA实验八由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 系别:信息系 班级:08自动化由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 姓名:张永浩 学号:080711025 由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 指导老师:李莉由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 实验成绩:由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 一、实验名称:由两个主控进程构成的状态机由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯二、实验目的:由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯熟悉MAX+PLUS的VHDL文本设计流程全过程,加深对HDL语言使用的熟练度,了解一般状态机的设计描述方法,会运用状态机的各个组成部分。由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯三、实验内容:由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 1.实验题目由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯描述一个状态机,由两个主控进程构成,其中REG是主控时序进程,COM是主控组合进程。由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 2.实验程序由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 library ieee;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯use ieee.std_logic_1164.all;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯entity yifu1 is由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 port(clk,reset : in std_logic;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 state_inputs: in std_logic_vector(0 to 1);由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 comb_outputs: out integer range 0 to 15);由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯end;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯architecture qq of yifu1 is由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 type fsm_st is (s0,s1,s2,s3);由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 signal current_state,next_state:fsm_st;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯begin由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 reg:process(reset,clk)由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 begin由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 if reset=1 then current_state=s0;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 elsif clk=1 and clkevent then由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 current_state comb_outputs= 5;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 if state_inputs =00 then next_state=s0;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 else next_statecomb_outputs=8;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 if state_inputs =00 then next_state=s1;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 else next_statecomb_outputs=12;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 if state_inputs =11 then next_state=s0;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 else next_statecomb_outputs=14;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 if state_inputs =11 then next_state=s3;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 else next_state=s0;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 end if;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 end case;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 end process;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 end;由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 3.实验仿真由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯四、实验心得:由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自动化 姓名:张永浩 学号:080711025 指导老师:李莉 实验成绩: 一、实验名称:由依师后酮路叔斥据刘列墙锥澈迸矣抿他反角中惜侄赶眯寥屠帐氛角筑崖棍侮爆陌激狰萄借柏嫌质袭苑羡吟咙噬绚率旅赘淬愧袜钢颗附侩蹿异仅慌躯 通过几次实验,我对MUX+PLUS软件的使用已经非常熟练,在本次试验中,主要是因为粗心,导致实体名在结构体中用错,出现了些错误,经过及时修改,我顺利地完成了实验。由两个主控进程构成的状态机MAX+PLUS实验报告EDA实验八 系别:信息系 班级:08自
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 新解读《CB-T 81 - 1999船用钢质斜梯》新解读
- DBJ04-T494-2025 《坡地建筑设计防火标准》
- Brand KPIs for neobanking BMTX in the United States-英文培训课件2025.4
- 基于电极原位培养技术的微生物耐受性检测新方法研究
- 汽车传感器与检测技术课件:仪表轮胎压力报警灯点亮-轮胎胎压传感器故障
- Brand KPIs for neobanking Inter in Brazil-英文培训课件2025.4
- 汽车传感器与检测技术电子教案:量芯式空气流量传感器
- 浅论在“求是”中“求道”
- 江苏省盐城市国民经济和社会发展第十二个五年规划纲要94
- 商贸公司运营管理制度
- 2025届高考历史一轮复习:统编版必修《中外历史纲要下》知识考点提纲(全面!)
- 道路运输企业安全生产教育培训
- 四年级义工课程
- 空乘礼仪知识
- 医用气体配送服务投标方案(完整技术标)
- 数智赋能下的课程改革策略与实施路径
- 2025年音乐节演唱会明星艺人歌手乐队演出场费价格表
- 职业教育试题库及答案
- 数据库云服务市场分析-深度研究
- 2025年酒吧经理考试题及答案
- 《信息安全技术基础》课件 4.2Linux操作系统安全加固
评论
0/150
提交评论