




已阅读5页,还剩3页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
武汉大学计算机学院教学实验报告课程名称大规模集成电路应用成 绩教师签名实验名称电子系统实验序号05实验日期2011.11.26姓 名学 号专 业年级-班1、 实验目的及实验内容(本次实验所涉及并要求掌握的知识;实验内容;必要的原理分析)小题分实验目的:1. 了解电子系统的基本功能;2. 掌握电子系统的设计方法;3. 熟悉电子系统的工作过程。实验内容:1. 设计一个八路彩灯显示系统,要求彩灯用两种节拍交替运行,有以下3种演示花型。花型1:八路彩灯同时亮灭;花型2:八路彩灯每次只有一路灯亮,各路彩灯依次循环亮;花型3:八路彩灯每次四路灯亮,四路灯灭,且亮灭相间,交替亮灭。2. 设计一个四组人参加的竞赛抢答系统,要求如下:当某一组参赛者首先按下抢答开关时,相应显示灯亮,此时抢答系统不再接受其他输入信号;回答问题时,有时间显示,且小于等于30秒;当主持人发开始前,若有任一组参赛者按下抢答开关,视为犯规。3设计一个十字路口交通灯指挥系统,要求如下:主干道和支干道均有红,绿,黄三种信号灯;通常保持主干道绿灯亮,支干道红灯亮,只有当支干道有车时,才转为主干道红灯亮,支干道绿灯亮;绿灯转红灯过程中,先由绿灯转为黄灯,3秒钟,再由黄灯转为红灯,同时对方才由红灯转为绿灯;当两个方向有车时,红绿灯应间隔30秒钟变换一次。在以上三个实验中任选两个实验完成。实验原理:有键盘显示电路,组合逻辑电路和时序逻辑电路2、 实验环境及实验步骤(本次实验所使用的器件、仪器设备等的情况;具体的实验步骤)小题分:实验环境:1. HK-PLD VI型实验仪;2. PC机;3. 通信线。具体的实验步骤:本次实验选取第一个和第三个实验。1. 分析实验要求,进行算法设计,将书本上的源代码在实验平台上进行试验,看能否得出正确结果;2. 在此基础之上,对其源代码进行修改,然后经过综合分析,引脚约束,设计实现和下载测试看是否满足实验对他的要求;3. 一步一步的进行修改,使它最终满足要求;4. 叫辅导老师检查最后的结果。3、 实验过程分析(详细记录实验过程中发生的故障和问题,进行故障分析,说明故障排除的过程及方法。根据具体实验,记录、整理相应的数据表格、绘制曲线、波形等)小题分:一 实验一的代码如下:-库library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;-实体entity light isport(clk:instd_logic; -12MHZ=83ns scan:outstd_logic_vector(5 downto 0); ld: out std_logic; light:buffer std_logic_vector(7 downto 0);end light;-结构体architecture behv of light isconstant len: integer:=7;signalbanner: std_logic; - 节拍转换信号signalclk1,clk2,clk3: std_logic; signal count: std_logic_vector(19 downto 0);Begin scan=111111; ld=0; clk1=(count(18) and banner) or (clk2 and not banner); clk3=count(18);process(clk)begin if clk event and clk=1 then count=count+1;end if;end process;process(clk3)begin if clk3 event and clk3=1 then clk2=not clk2; end if;end process; process(clk)variable flag:bit_vector(2 downto 0):=000; beginif clk1event and clk1=1 thenif flag=000 then light=11111111;flag:=001;elsif flag=001 thenlight=00000000;flag:=010;elsif flag=010 then -中间向两边亮if light=00000000 then light=00000001;else light(len downto 0)=light(len-1 downto 0)&0;end if;if light(6)=1 then flag:=011; end if; elsif flag=011 then -两边向中间暗light=10101010;flag:=100;elsif flag=100 then -奇偶位循环点亮light=01010101;flag:=101; elsif flag=101 thenbanner=not banner; - (节拍转换)flag:=000;else flag:=000;end if;end if;end process;end behv;与此对应的引脚约束如下:NET clk LOC = p80;NET ld LOC = p96;NET light LOC = p87;NET light LOC = p88;NET light LOC = p90;NET light LOC = p95;NET light LOC = p112;NET light LOC = p110;NET light LOC = p109;NET light LOC = p102;NET scan LOC = p89;NET scan LOC = p94;NET scan LOC = p97;NET scan LOC = p100;NET scan LOC = p99;NET scan LOC = p101;二 实验三交通灯的实验代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jiaotong isport(clk0, clkk:in std_logic; s:in std_logic_vector(1 downto 0); dout: out std_logic_vector(7 downto 0); -数码显示器七段 enable: out std_logic; scan : out std_logic_vector(5 downto 0); -六个数码显示器 cs244:out std_logic; light:out std_logic_vector(7 downto 0) -交通灯(1个灯2位) );end jiaotong;architecture a of jiaotong issignal flag,temp:std_logic;signal time1,data,time2: std_logic_vector(3 downto 0):=0000;-signal fg:std_logic:=1;beginenable=1;scan=1111&temp &(not temp); -左边4个数码显示器关闭,右边两个计数cs244=0;process(clkk)begin if clkkevent and clkk=1 then temp=not temp; if temp=1 then data=time1; else data=time2; end if; end if; end process;process(clk0)variable num:integer:=3; begin if clk0event and clk0=1 then if s=10 then num:=3; if time1=0000 then if time2=0000 then time1=1001; time2=0010; flag=not flag; else time2=time2-1; time1=1001; end if; else time1=time1-1; end if; elsif s=01 then if num=3then time1=0 then time1 = time1 -1; num:=num-1; end if;elsif s=00 then time1=0000;time2 if time10100 and flag=1 and time2=0000 then light=11101011; elsif time11010 and flag=1 then light=01101001; elsif time10100 and flag=0 and time2=0000 then light=10111110; elsif time11010 and flag=0 then light light if time10000 then light=11101011; else lightnull;end case; end process; process(data) begin case data is when 0000 = dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout dout = 00000000; end case; end process; end a;相应的引脚约束如下:NET clkk LOC = p182;NET clk0 LOC = p185;NET light LOC = p86;NET light LOC = p70;NET light LOC = p71;NET light LOC = p68;NET light LOC = p74;NET light LOC = p82;NET light LOC = p81;NET light LOC = p75;NET scan LOC = p89;NET scan LOC = p94;NET scan LOC = p97;NET scan LOC = p100;NET scan LOC = p99;NET scan LOC = p101;NET dout LOC = p95;NET dout LOC = p90;NET dout LOC = p88;NET dout LOC = p110;NET dout LOC = p112;NET dout LOC = p109;NET dout LOC = p102;NET dout LOC = p87;NET enable LOC = p96;NET cs244 LOC = p83;在做第二个实验的时候,开始是实验交通灯可以满足实验的要求,但是实验显示计数器却在一直自己运行,没有根据交通灯的情况加以变化和调整,更没有显示3秒变化。后来在计数进程之中增设一个变量num,并且赋予初值3,让后面的加计数和及归零
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 绿色建筑示范项目资金申请2025年绿色建筑政策法规解读报告
- 广东省揭阳市惠来县第一中学2024-2025学年下学期八年级期末英语试题(含答案无听力音频及原文)
- 质量管理工具培训
- 中学生适应新环境心理健康课
- 商业演讲培训课件
- 高考报名培训课件湖南
- 企业新人培训
- 零跑汽车培训课件
- 中医内科疾病分类
- 火灾培训全攻略
- DL∕T 2602-2023 电力直流电源系统保护电器选用与试验导则
- 河南省许昌市2023-2024学年三年级下学期期末质量检测语文试卷
- 2024年全国“红旗杯”班组长大赛(复赛)备考试题库(简答、案例分析题)
- 全国住房城乡建设行业职业技能大赛各赛项技术文件 C1-建筑信息模型技术员LS技术文件
- 北京大学2024年强基计划笔试数学试题(解析)
- 2023-2024学年四川省南充市仪陇县五年级数学第二学期期末经典试题含解析
- 畜禽屠宰企业兽医卫生检验人员考试试题
- 医疗废物污水培训课件
- 设备维保的预防性维修与预防性管理
- 2022-2023学年湖北省黄冈市武穴市七年级(下)期末历史试卷(含解析)
- 2024年江苏瑞海投资控股集团有限公司招聘笔试参考题库含答案解析
评论
0/150
提交评论