EDA10层电梯设计.doc_第1页
EDA10层电梯设计.doc_第2页
EDA10层电梯设计.doc_第3页
EDA10层电梯设计.doc_第4页
EDA10层电梯设计.doc_第5页
已阅读5页,还剩28页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘 要本文从现代信息社会的现状、需要及未来的发展趋势出发,对EDA(电子设计自动化)这一目前流行的电子设计技术中的核心技术VHDL(超高速硬件描述语言)语言进行了深入的研究,结合科研和教学实例,研究它的应用。描述了VHDL语言及其基本特点, 讨论了VHDL 语言在EDA 中的诸多优点, 介绍了基于VHDL语言的电梯控制器设计,并进行了电路综合和仿真。本设计利用EDA技术设计十层电梯的控制器,该控制器遵循方向优先的原则,提供楼层用户的载客服务并指示电梯的运行情况。说明了用VHDL 语言设计数字电路的方法以及VHDL 语言在数字电路设计仿真中的重要作用, 给出了电梯控制器的时序仿真波形。仿真结果表明VHDL 语言应用于数字电路仿真是切实可行的。关键词:EDA;VHDL;仿真;电梯控制器。Abstract In this paper, the modern information society status, needs and future development trend of starting on the EDA (electronic design automation) This popular electronic design technology in the core technology - VHDL (ultra high-speed Hardware Description Language) language conducted in-depth research, combining research and teaching examples, study its application. Describes the VHDL language and its basic characteristics of the VHDL language in the EDA discussed the many advantages of introducing VHDL-based elevator controller design and conduct of the circuit synthesis and simulation. This design using EDA technical design, 10 floor elevator controller, the controller to follow the direction of the priority principle of providing passenger services floor and instruct the users operation of the elevator. Describes the design of digital circuits using VHDL language approaches and VHDL languages in digital circuit design and simulation of the important role of the elevator controller is given timing simulation waveforms. Simulation results show that the VHDL language used in digital circuit simulation is feasible. Keywords: EDA; VHDL; simulation; elevator controller.目 录摘 要 1第一章 EDA技术.61.1 EDA概述.61.1.1 EDA技术的起源. 61.1.2 EDA技术的涵义.61.1.3 EDA技术的主要内容.61.1.4 EDA技术的应用形式.81.2 VHDL语言及程序概述.91.2.1 VHDL简介.91.2.2.VHDL的优点.91.2.3 VHDL语言的开发程.10第二章 电梯控制器的系统设计112.1电梯的定义及发展概况.112.2 电梯设计的要求.112.3电梯控制器系统组成及模块设计.122.3.1 系统组成.122.3.2 模块设计.122.4 电梯控制器的功能.122.4.1 主控制器的功能.122.4.2 分控制器的功能.132.4.3 分控制器的有效请求原则.132.5 电梯系统的设计.13第三章 电梯控制器的VHDL语言实现143.1 各模块的VHDL语言设计及仿真.143.1.1 控制系统信号列表.143.1.2 控制器系统VHDL语言.153.2 电梯控制程序仿真.16第四章 结论19参考文献.20致谢.21附录.22前 言进入21 世纪以来,人类全面进入了信息时代。作为信息技术的硬件载体电子信息产品,正在朝着功能越来越复杂、上市时间越来越紧迫、开发风险性越来越大、集成化智能化程度越来越高的趋势发展。所有这些,给电子系统设计师带来了前所未有的压力,面对技术进步的压力,电子系统设计师需要熟练掌握电子设计自动化(EDA)技术。 EDA 技术是现代各种高新技术和理论发展的必然结果。它的出现,标志着人类在微电子技术领域取得了重大突破。EDA 技术极大地促进了信息技术的发展,加快了人类社会信息化的进程。有专家预言,21世纪将是EDA 技术快速发展的时期,它将成为对本世纪产生重大影响的十大科学技术之一。 本设计利用EDA技术设计十层电梯的控制器,通过本设计提高对EDA工具掌握的熟练度。第一章 EDA技术1.1 EDA概述1.1.1 EDA技术的起源EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。1)20世纪70年代的计算机辅助设计CAD阶段。2) 20世纪80年代的计算机辅助工程设计CAE阶段3) 20世纪90年代电子系统设计自动化EDA阶段未来的EDA技术将向广度和深度两个方向发展,EDA将会超越电子设计的范畴进入其他领域,随着基于EDA的SOC(单片系统)设计技术的发展,软硬核功能库的建立,以及基于VHDL所谓自顶向下设计理念的确立,未来的电子系统的设计与规划将不再是电子工程师们的专利。有专家认为,21世纪将是EDA技术快速发展的时期,并且EDA技术将是对21世纪产生重大影响的十大技术之一。1.1.2 EDA技术的涵义什么叫EDA技术?由于它是一门迅速发展的新技术,涉及面广,内容丰富,理解各异,目前尚无统一的看法。作者认为:EDA技术有狭义的EDA技术和广义的EDA技术之分。狭义的EDA技术,就是指以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。广义的EDA技术,除了狭义的EDA技术外,还包括计算机辅助分析CAA技术(如PSPICE,EWB,MATLAB等),印刷电路板计算机辅助设计PCB-CAD技术(如PROTEL,ORCAD等)。在广义的EDA技术中,CAA技术和PCB-CAD技术不具备逻辑综合和逻辑适配的功能,因此它并不能称为真正意义上的EDA技术。故作者认为将广义的EDA技术称为现代电子设计技术更为合适。利用EDA技术(特指IES/ASIC自动设计技术)进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高;6从以前的“组合设计”转向真正的“自由设计”;7设计的移植性好,效率高;8非常适合分工设计,团体协作。因此,EDA技术是现代电子设计的发展趋势。1.1.3 EDA技术的主要内容EDA技术涉及面广,内容丰富,从教学和实用的角度看,究竟应掌握些什么内容呢?作者认为,主要应掌握如下四个方面的内容: 大规模可编程逻辑器件; 硬件描述语言; 软件开发工具; 实验开发系统。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。为了使读者对EDA技术有一个总体印象,下面对EDA技术的主要内容进行概要的介绍。大规模可编程逻辑器件可编程逻辑器件(简称PLD)是一种由用户编程以实现某种逻辑功能的新型逻辑器件。FPGA和CPLD分别是现场可编程门阵列和复杂可编程逻辑器件的简称。现在,FPGA和CPLD器件的应用已十分广泛,它们将随着EDA技术的发展而成为电子设计领域的重要角色。国际上生产FPGA/CPLD的主流公司,并且在国内占有市场份额较大的主要是Xilinx,Altera,Lattice三家公司。Xilinx公司的FPGA器件有XC2000、XC3000、XC4000、XC4000E、XC4000XLA、XC5200系列等,可用门数为120018?000;Altera公司的CPLD器件有FLEX6000、FLEX8000、FLEX10K、FLEX10KE系列等,提供门数为500025?000;Lattice公司的ISPPLD器件有ispLSI1000、ispLSI2000、ispLSI3000、ispLSI6000系列等,集成度可多达25 000个PLD等效门。FPGA 在结构上主要分为三个部分,即可编程逻辑单元,可编程输入/输出单元和可编程连线三个部分。CPLD在结构上主要包括三个部分,即可编程逻辑宏单元,可编程输入/输出单元和可编程内部连线。高集成度、高速度和高可靠性是FPGA/CPLD最明显的特点,其时钟延时可小至ns级。结合其并行工作方式,在超高速应用领域和实时测控方面有着非常广阔的应用前景。在高可靠应用领域,如果设计得当,将不会存在类似于MCU的复位不可靠和PC可能跑飞等问题。FPGA/CPLD的高可靠性还表现在几乎可将整个系统下载于同一芯片中,实现所谓片上系统,从而大大缩小了体积,易于管理和屏蔽。与ASIC设计相比,FPGA/CPLD显著的优势是开发周期短、投资风险小、产品上市速度快、市场适应能力强和硬件升级回旋余地大,而且当产品定型和产量扩大后,可将在生产中达到充分检验的VHDL设计迅速实现ASIC投产。对于一个开发项目,究竟是选择FPGA还是选择CPLD 呢? 主要看开发项目本身的需要。对于普通规模,且产量不是很大的产品项目,通常使用CPLD比较好。对于大规模的逻辑设计、ASIC设计,或单片系统设计,则多采用FPGA。另外,FPGA掉电后将丢失原有的逻辑信息,所以在实用中需要为FPGA芯片配置一个专用ROM。硬件描述语言常用硬件描述语言有VHDL、Verilog和ABEL语言。VHDL起源于美国国防部的VHSIC,Verilog起源于集成电路的设计,ABEL则来源于可编程逻辑器件的设计。下面从使用方面将三者进行对比。1) 逻辑描述层次:一般的硬件描述语言可以在三个层次上进行电路描述,其层次由高到低依次可分为行为级、RTL级和门电路级。VHDL语言是一种高级描述语言,适用于行为级和RTL级的描述,最适于描述电路的行为;Verilog语言和ABEL语言是一种较低级的描述语言,适用于RTL级和门电路级的描述,最适于描述门级电路。2) 设计要求:VHDL进行电子系统设计时可以不了解电路的结构细节,设计者所做的工作较少;Verilog和ABEL语言进行电子系统设计时需了解电路的结构细节,设计者需做大量的工作。3) 综合过程:任何一种语言源程序,最终都要转换成门电路级才能被布线器或适配器所接受。因此,VHDL语言源程序的综合通常要经过行为级RTL级门电路级的转化,VHDL几乎不能直接控制门电路的生成。而Verilog语言和ABEL语言源程序的综合过程要稍简单,即经过RTL级门电路级的转化,易于控制电路资源。4) 对综合器的要求:VHDL描述语言层次较高,不易控制底层电路,因而对综合器的性能要求较高,Verilog和ABEL对综合器的性能要求较低。5) 支持的EDA工具:支持VHDL和Verilog的EDA工具很多,但支持ABEL的综合器仅仅Dataio一家。6) 国际化程度:VHDL和Verilog已成为IEEE标准,而ABEL正朝国际化标准努力。有专家认为,在新世纪中,VHDL与Verilog语言将承担几乎全部的数字系统设计任务。第三方EDA工具在基于EDA技术的实际开发设计中,由于所选用的EDA工具软件的某些性能受局限或不够好,为了是自己的设计整体性能最佳,往往需要使用第三方工具。业界最流行的第三方EDA工具有:逻辑综合性能最好的Synplify,仿真功能最强大的ModelSim。1)Synplify:它是Synplicity公司(该公司现在是Cadence的子公司)的著名产品,它是一个逻辑综合性能最好的FPGA和CPLD的逻辑综合工具。它支持工业标准的Verilog和VHDL硬件描述语言,能以很高的效率将它们的文本文件转换为高性能的面向流行器件的设计网表;它在综合后还可以生成VHDL和Verilog仿真网表,以便对原设计进行功能仿真;它具有符号化的FSM编译器,以实现高级的状态机转化,并有一个内置的语言敏感的编辑器;它的编辑窗口可以在HDL源文件高亮显示综合后的错误,以便能够迅速定位和纠正所出现的问题;它具有图形调试功能,在编译和综合后可以以图形方式(RTL图、Technology图)观察结果;它具有将VHDL文件转换成RTL图形的功能,这十分有利于VHDL的速成学习;它能够生成针对以下公司器件的网表:Actel,Altera,Lattice、Lucent、Philips、Quicklogic、Vantis(Amd)和Xilinx;它支持VHDL 1076-1993标准和verilog 1364-1995标准。2)ModelSim:它是Model Technology公司(该公司现在是Mentor Graphics的子公司)的著名产品,支持VHDL和Verilog的混合仿真。使用它可以进行三个层次的仿真,即RTL(寄存器传输层次)、Functional(功能)和Gate-Level(门级)。RTL级仿真仅验证设计的功能,没有时序信息;功能级是经过综合器逻辑综合后,针对特定目标器件生成的VHDL网表进行仿真;而门级仿真是经过布线器、适配器后,对生成的门级VHDL网表进行的仿真,此时在VHDL网表中含有精确的时序延迟信息,因而可以得到与硬件相对应的时序仿真结果。ModelSim VHDL支持IEEE 1076-1987和IEEE 1076-1993标准。ModelSim Verilog基于IEEE 1364-1995标准,在此基础上针对Open Verilog标准进行了和蔼的扩展。此外,ModelSim支持SDF1.0、2.0和2.1,以及VITAL 2.2b和VITAL95。1.1.4 EDA技术的应用形式随着EDA技术的深入发展和EDA技术软硬件性能价格比的不断提高, EDA技术的应用将向广度和深度两个方面发展。根据利用EDA技术所开发的产品的最终主要硬件构成来分,作者认为,EDA技术的应用发展将表现为如下几种形式:1)CPLD/FPGA系统:使用EDA技术开发CPLD/FPGA,使自行开发的CPLD/FPGA作为电子系统、控制系统、信息处理系统的主体。2)“CPLD/FPGA+MCU”系统:使用EDA技术与单片机相接结合,使自行开发的CPLD/FPGA+MCU作为电子系统、控制系统、信息处理系统的主体。3)“CPLD/FPGA+专用DSP处理器”系统:将EDA技术与DSP专用处理器配合使用,使自行开发的“CPLD/FPGA+专用DSP处理器”,构成一个数字信号处理系统的整体。4)基于FPGA实现的现代DSP系统:基于SOPC(a System on a Programmable Chip)技术、EDA技术与FPGA技术实现方式的现代DSP系统。5)基于FPGA实现的SOC片上系统:使用超大规模的FPGA实现的,内含1个或数个嵌入式CPU或DSP,能够实现复杂系统功能的单一芯片系统。基于FPGA实现的嵌入式系统:使用CPLD/FPGA实现的,内含嵌入式处理器,能满足对象系统要求实现特定功能的,能够嵌入到宿主系统的专用计算机应用系统。1.2VHDL语言及程序概述1.2.1 VHDL简介硬件描述语言是EDA技术的重要组成部分,VHDL是电子设计的主流硬件描述语言。VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language。,诞生于1982年。1987年底,VHDL被IEEE和国美国国防部却认为标准硬件描述语言。自IEEE公布了VHDL的标准版本(IEEE 1076)之后,个EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准硬件,是语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了型板本的VHDL,即IEEE 1076-1993版本。现在,VHDL作为IEEE的工业标准化硬件描述语言,又得到众多EDA概述的支持,在电子工程领域,已成为事实上的通用硬件描述语言。 1.2.2 VHDL的优点VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点是多方面的。 1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。 2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。 3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。 4)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。 1.2.3 VHDL语言的开发流程1)语句结构描述中方括号“”内的内容为可选内容。2)VHDL的编译器和综合器对程序文字的大小写是不加区分的。3)程序中的注释用双“-”。在VHDL程序的任何一行中,双横线“-”后的文字都不参加便宜的综合。4)为了便于程序的阅读和调试,书写和输入程序时,使用层次需同一格式,同一层次的对齐,低层次的比高层次的缩进两个字符。5)为了使用一个VHDL源程序文件能适应各EDA开发软件上的使用要求,建议各个源程序文件的命名均与实体名一致。 第二章 电梯控制器的系统设计2.1电梯的发展及概况一种以电动机为动力的垂直升降机,装有箱状吊舱,用于多层建筑乘人或载运货物。也有台阶式,踏步板装在履带上连续运行,俗称自动电梯。 服务于规定楼层的固定式升降设备。它具有一个轿厢,运行在至少两列垂直的或倾斜角小于15的刚性导轨之间。轿厢尺寸与结构形式便于乘客出入或装卸货物。习惯上不论其驱动方式如何,将电梯作为建筑物内垂直交通运输工具的总称。随着当今世界科学技术的高速发展,电梯制造技术也呈高速发展之势,当前电梯总的发展趋势主要体现在以下几个方面:一、电梯驱动方面1)广泛开发应用交流变压变频调速(VVVF)控制技术,而且其控制型式逐渐由GTR向IPM和IGBT发展,使其控制性能更为完善和提高。2)取直线电机驱动,是电梯的驱动方式取得根本性的突破。3)统的采用直流可控硅无齿轮驱动控制系统的高速电梯,逐渐向VVVF无齿轮驱动控制系统发展,因为后者具有节能、系统小型化等特点和优点。二、电梯控制技术方面1)应用微机网络控制技术,使系统的可靠性更高,功能处理更为灵活。2)采用表面贴装技术,使用大规模ASIC电路,以缩小印板的面积并提高电子电路的可靠性。3)电梯群控系统的调配应用模糊逻辑和专家系统,以提高群控系统的效率和精度。4)采用智能化功率模块,构成电梯的智能化控制系统。5)采用高位数CPU,增强电梯信号的处理功能,加快信号处理速度。6)不断增加和扩展电梯的各种选择功能。目前可供选用的电梯功能已达6070多种之多,这构成了电梯轿厢造型、色调的多样化、艺术化和个性化,并于建筑物和谐的融为一体。三、电梯运行速度方面随着建筑的高层化和电梯基础技术的发展,电梯运行速度逐渐向高速化发展,目前世界电梯的最高速已达12.5m/s,由日本三菱研制和推向市场,并装于日本国内投入使用正常。四、曳引机技术方面对有齿轮曳引机由传统的蜗轮蜗杆传动逐渐向行星式齿轮传动和高精度硬齿面圆柱齿轮传动发展之势,这有利于缩小曳引机体积及提高承载能力和传动效率,而且可使其向高速化发展。五、电梯开门方面它一方面采用改变门机的结构设计,使其结构更趋简化、合理、工艺可靠和性能优异。另一方面门机的驱动向ACVV和VVVF发展,以改善开门的运行速度曲线,降低转动噪声和提高开关门的效率。六、电梯装潢方面电梯装潢特别是轿厢装潢发展很快,它一方面大量采用新颖的装潢材料,其中如铝钢复合材料、涂塑钢板、各种类型不锈钢板、石材、木材和钢化玻璃等,还辅以特殊的表面处理工艺、油漆工艺、喷塑工艺及镶拼贴装工艺等。另一方面则在改变轿厢的造型和照明设计、操纵箱设计和轿厢层楼显示设计等方面下工夫,包括上述二者的有机结合。2.2 电梯设计的要求1)每层电梯入口处设有上下请求开关各1个,电梯内设有乘客到达层次的数字开关。电梯当前所在的楼层位置用两位数码管显示,用两只发光二极管显示开门/关门状态,用发光二极管显示每层的上下请求状态。2)显示电梯当前所处位置和电梯上行、下行及开门、关门状态。3)电梯到达有停靠站请求的楼层后,电梯门就会自动打开门指示灯亮,开门6秒后,电梯门自动关闭(开门指示等灭)电梯继续运行。4)能记忆电梯内外的所有请求信号,并按照电梯运行的规则次第响应,即电梯上升时只能响应高层的呼唤的请求,下降时只响应低层的呼唤请求,每个请求信号保留到执行后撤出。5)当没有请求信号时,电梯停在一楼。6)电梯有超载提示。当电梯内部超载过电梯规定的人数或重量后,电梯会自动报警,提示电梯已超载。2.3 电梯控制器系统组成及模块设计2.3.1 系统组成该设计采用方向优先控制方式方案,方向优先控制是指电梯运行到某一楼层时先考虑这一楼层是否有请求:有,则停止;无,则继续前进。停下来后再启动时的步骤:考虑前方上方或下方是否有请求:有,则继续前进;无,则停止;检测后方是否有请求,有请求则转向运行,无请求则维持停止状态。2.3.2 模块设计1)外部数据高速采集模块设计有效的对外部信号采集、处理要求电梯控制器对外部请求信号的实时、准确采集准确、实时的捕捉楼层到达信号;有防止楼层到达信号、外部请求信号的误判。2)信号存储模块电梯控制器的请求输入信号有27个(电梯外有9个上升请求和9个下降请求的用户输入端口,电梯内有9个请求用户输入端口),由于系统对内、外请求没有设置优先级,各楼层的内、外请求信号被采集后可先进行运算,再存到存储器内。3)基于FPGA的中央处理模块中央数据处理模块是系统的核心,通过对存储的数据(含请求、到达楼层等信号)进行比较、判断以驱动系统状态的流转。电梯工作过程中共有9种状态:等待、上升、下降、开门、关门、停止、休眠、超载报警以及故障报警状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。2.4 电梯控制器的功能2.4.1 主控制器的功能1)完成10个楼层多用户的载客服务控制。2)电梯运行时显示电梯的运行方向和所在的楼层。 3)当电梯到达选择的楼层时,电梯自动开门。 4)具有提前关电梯门和延时关电梯门的功能。 5)响应分控制器的有效请求,如果到达有请求的楼层,电梯自动开门。2.4.2 分控制器的功能:1)显示电梯的运行状态和所在的楼层。 2)显示乘客的上升和下降请求。2.4.3 分控制器的有效请求原则:1)电梯处于等待状态时,上升和下降请求都响应。 2)电梯处于上升状态时,有上升请求的分控制器所在楼层数大于电梯所在的楼层数。 3)电梯处于下降状态时,有下降请求的分控制器所在楼层数小于电梯所在的楼层数。 2.5 电梯系统的设计控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在的楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控的楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。 图1 电梯控制器原理框图第三章 电梯控制器的VHDL语言实现3.1 各模块的VHDL语言设计及仿真图3-1 电梯控制器输入输出引脚图3-2 电梯控制系统结构3.1.1 控制系统信号列表VHDL语言信号定义clk时钟信号full超载信号deng关门中断信号quick提前关门信号clr 清除报警信号c_u1,c_u2,c_u3,c_u4,c_u5,c_u6,c_u7,c_u8,c_u9电梯外人的上升请求信号c_d2,c_d3,c_d4,c_d5,c_d6,c_d7,c_d8,c_d9,c_d10电梯外人的下降号d1,d2,d3,d4,d5,d6,d7,d8,d9,d10电梯内人的请求信号g1,g2,g3,g4,g5,g6,g7,g8,g9,g10到达楼层信号door 电梯门控制信号led 电梯所在楼层显示led_c_u电梯外人上升请求信号显示led_c_d电梯外人下降请求信号显示led_d电梯内请求信号显示wahaha看门狗报警信号ud电梯运动方向显示信号alarm 超载警告信号up,down电机控制、运动信号signal q1关门延时计数器signal q2看门狗计数器signal dd,cc_u,cc_d,dd_cc电梯内外请求信号寄存器signal opendoor开门使能信号signal up,down电梯运动方向信号寄存器signal en_up,en_dw预备上升、预备下降预操作使能信号3.1.2 控制器系统VHDL语言1)控制器系统VHDL语言实现,输入输出端口如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti is port ( clk : in std_logic; -时钟信号(频率为2Hz)full,deng,quick,clr : in std_logic; -超载、关门中断、提前关门清除报警信号c_u1,c_u2,c_u3,c_u4,c_u5,c_u6,c_u7,c_u8,c_u9: in std_logic; -电梯外人的上升请求信号c_d2,c_d3,c_d4,c_d5,c_d6,c_d7,c_d8,c_d9,c_d10: in std_logic; -电梯外人的下降号d1,d2,d3,d4,d5,d6,d7,d8,d9,d10 : in std_logic; -电梯内人的请求信号g1,g2,g3,g4,g5,g6,g7,g8,g9,g10 : in std_logic; -到达楼层信号door : out std_logic_vector(1 downto 0); -电梯门控制信号led : out std_logic_vector(13 downto 0); -电梯所在楼层显示led_c_u:out std_logic_vector(9 downto 0); -电梯外人上升请求信号显示led_c_d:out std_logic_vector(9 downto 0); -电梯外人下降请求信号显示led_d : out std_logic_vector(9 downto 0); -电梯内请求信号显示wahaha : out std_logic; -看门狗报警信号ud,alarm : out std_logic; -电梯运动方向显示,超载警告信号up,down : out std_logic ); -电机控制信号和电梯运动end dianti;2)控制器中的构造体的各个信号定义:signal q1:integer range 0 to 6; -关门延时计数器 signal q2:integer range 0 to 9; -看门狗计数器signal dd,cc_u,cc_d,dd_cc:std_logic_vector(9 downto 0); -电梯内外请信求号寄存器signal opendoor:std_logic; -开门使能信号signal updown:std_logic; -电梯运动方向信号寄存器signal en_up,en_dw:std_logic; -预备上升、预备下降预操作使能信号3) 控制器的逻辑判断:dd=d1010&d99d88&d77&d66&d55&d44&d33&d22&d11; -电梯内人请求信号并置 cc_u=0&c_u99&c_u88&c_u77&c_u66&c_u55&c_u44&c_u33&c_u22&c_u11; -电梯外人上升请求信号并置 cc_d=c_d1010&c_d99&c_d88&c_d77&c_d66&c_d55&c_d44&c_d33&c_d22&0; -电梯外人下降请求信号并置 dd_cc=dd or cc_u or cc_d; -电梯内、外人请求信号进行综合3.2 电梯控制程序仿真:图3-3 电梯控制程序仿真图注解:由2HZ时钟信号充当敏感信号,触发各个上升或者下降请求,在电梯内外各有数码管显示楼层、请求和电梯运动方向信号,由电梯门控制信号控制电梯到达楼层的开、关门,电梯设有超载、关门中断、提前关门、和看们狗等警报系统,硬件上使用FPGA来实现,FPGA在实现电梯控制方面比较灵活,可以通过对程序的修改来达到控制多个楼层,能够满足一般的载客电梯的工作功能需要。图3-4 电梯控制仿真图注解:此时电梯在第十层,数码管led显示当前楼层,当第一层与第三层有上升请求时, 数码led_c_u显示一层和三层有上升请求,电梯门控制信号door控制电梯关门并由电机控制信号控制电梯下降,因为一层和三层的都是上升请求,电梯下降到一层载人,再由电梯内人输入请求信号,响应信号后上升到三层载人。图3-5 电梯控制仿真图注解:电梯在三层,电梯内人请求上升到六层,电梯内请求由led_d表示,带难题上升同时响应各层电梯外的请求。此时电梯外分别有三楼、四楼、五楼的下降请求,电梯外下降请求由led_c_d表示,电梯上升到六楼卸客,响应下降请求,关门下降到五楼载人,由进入电梯的客人输入请求再下降到四楼、三楼分别载人。图3-6 电梯控制仿真图注解:到达三层时,响应请求(c_d4),开门载客,进入预备下降状态,电梯在预备下降状态下,电梯响应超载(full=1),发出超载报警alar超载信号消失(full=0)电梯重新进入预备下降状态,电梯接受到提前关门信号quick,电梯跳过关门等待时间,等待3秒,进入关门状态,连续的关门中断超过三次时,不认为是出自乘客的需要,而认为是故障,并报警等技、技术员处理完故障时,用clr信号才可以清除报警。第四章 结论在现在社会中EDA的应用越来越广泛,EDA技术被应用各个方面,倍受人们的重视。本设计采用的正式FPGA来控制电梯的逻辑运行,具有编程灵活,性能可靠等优点,而且FPGA在去电后配置数据自动消失,用户可以控制加载进程,在现场修改器件的逻辑功能。在设计过程中我们首先把整个电梯设计根据功能分成若干个功能模块,然后理清个模块的时序,一以便将各个功能模块综合在一起,能够公用书籍总线,使其能正常工作不受干扰,有些程序在仿真的时候其时序是完全正确的,但是当程序下载到芯片上之后就会发现错误了。这主要是因为各个功能在实现时会有延时,但这在仿真时是显示不出来的。因此编程时要注意在选芯片之前,要先将计算出的数据信号先放到数据总线上。FPGA在实现电梯控制方面比较灵活,可以通过对程序的修改来达到控制多个楼层,本设计在电梯控制方面做的比较全面,比如设计了内外电梯的指示系统和当前电梯运行情况显示,以及超载报警等,能够满足一般的载客电梯的工作功能需要。参考文献1徐志军,徐光辉.CPLD/FPGA的开发和应用M.北京:电子工业出版社.2002.2曾繁秦. EDA 工程的理论与实践.电子工业出版社.2004.甘 历 VHDL应用与开发实际北京:科学出版社 .20033李惠升电梯控制技术北京:机械工业出版社.2003.4杨晓慧电子技术EDA实践教程北京:国防工业出版社. 20055 潘松,黄继业EDA技术实用教程M北京:科学出版社.20056 杨则,龙海燕VHDL与数字系统设计M北京:电子工业出版社.20047 潭会生,张昌凡EDA技术及应用M西安:电子科技大学出版社.20018 李伯宗,蓉晖译VHDL设计表示和综合M北京:机械工业出版社.20029 边计年,薛宏熙译用VHDL设计电子线路M北京:清华 大学出版社.200010朱正伟.EDA技术和应用.清华.200511刘燕萍,高振斌.EDA实用技术和应用.国防工业出版社.200612王诚,吴继华.Altera FPGA/CPLD设计(高级版).北京:人民邮电出版社.200513汪国强.EDA技术与应用.北京:电子工业出版社.200414谢自美.电子线路设计实验测试(第二版),华中科技大学.200215曾繁秦、陈美金,VHDL程序设计M.北京:清华大学出版社.2001.16陈嘉盛,电梯结构原理.机械工业出版社.200317赵全利,秦春斌,梁勇,李会萍,EDA技术及应用教程.机械工业出版社.2009.致谢终于在一个月的忙碌中完成了毕业设计,心情瞬间舒畅许多,汗水没有白出,努力的成果让人欣慰。毕业设计的完成也带表我大学生活的结束,三年的生活有苦有甜,让人回味。三年间,这个学校让我学会很多东西,不仅仅是知识还有做人的道理。这里的一草一木都让我难忘,每一个角落都写满了这三年的喜怒哀乐。在本次论文设计过程中,田老师对该论文从选题,构思到最后定稿的各个环节给予细心指引与教导,使我得以最终完成毕业论文设计。在学习中,田老师严谨的治学态度、丰富渊博的知识、敏锐的学术思维、精益求精的工作态度以及侮人不倦的师者风范是我终生学习的楷模,老师们的高深精湛的造诣与严谨求实的治学精神,将永远激励着我。这三年中还得到众多老师的关心支持和帮助。“不积跬步无以至千里”,这次毕业论文能够最终顺利完成,归功于大学三年各位老师教诲,使我能够很好的掌握专业知识,并在论文中得以体现。这次做毕业设计使对EDA有了更细的了解,也又多学会点知识,最后还是要谢谢田老师的指导!附录library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dianti is port ( clk : in std_logic; -时钟信号(频率为2Hz)full,deng,quick,clr : in std_logic; -超载、关门中断、提前关门清除报警信号c_u1,c_u2,c_u3,c_u4,c_u5,c_u6,c_u7,c_u8,c_u9: in std_logic; -电梯外人的上升请求信号c_d2,c_d3,c_d4,c_d5,c_d6,c_d7,c_d8,c_d9,c_d10 : in std_logic; -电梯外人的下降请求信号d1,d2,d3,d4,d5,d6,d7,d8,d9,d10 : in std_logic; -电梯内人的请求信号g1,g2,g3,g4,g5,g6,g7,g8,g9,g10 : in std_logic; -到达楼层信号door : out std_logic_vector(1 downto 0); -电梯门控制信号led : out std_logic_vector(13 downto 0); -电梯所在楼层显示led_c_u:out std_logic_vector(9 downto 0); -电梯外人上升请求信号显示led_c_d:out std_logic_vector(9 downto 0); -电梯外人下降请求信号显示led_d : o

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论