


免费预览已结束,剩余1页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
;.一、实验目的1、学习和掌握半加器全加器的工作原理和设计方法。2、熟悉 eda 工具 quartus ii 的使用,能够熟练运用vrilog hdl语言在quartus ii 下进行工程开发、调试和仿真。3、掌握组合逻辑电路在quartus 中的图形输入方法及文本输入方法, 掌握层次化设计方法。4、掌握半加器、全加器采用不同的描述方法。二、实验内容1、完成半加器全加器的设计,包括原理图输入,编译、综合、适配、仿真等。并将半加器电路设置成一个硬件符号入库2、建立更高层次的原理图设计,利用1 位半加器构成1 位全加器,并完成编译、综合、适配、仿真并硬件测试3、采用图形输入法设计1 位加法器分别采用图形输入和文本输入方法,设计全加器4、实验报告:详细叙述1 位全加法器的设计流程,给出各层次的原理图及其对应的仿真波形图, 给出加法器的上时序分析情况,最后给出硬件测试流程和结果。三、实验步骤1、建立一个project。2、编辑一个vhdl程序,要求用vhdl结构描述的方法设计一个半加器3、对该 vhdl程序进行编译,修改错误。4、建立一个波形文件。 (根据真值表)5、对该 vhdl程序进行功能仿真和时序仿真四、实验现象任务 1:半加器真值表描述方法代码如下:半加器是只考虑两个加数本身,而不考虑来自低位进位的逻辑电路s= ab+a bco=ab;. .aibisici0000011010101101代码如下 :逻辑图半加器真值表library ieee;-行为描述半加器use ieee.std_logic_1164.all;entity h_adder is port(a,b:in std_logic; so,co:out std_logic);end h_adder;architecture fh1 of h_adder issignal abc:std_logic_vector(1 downto 0);beginabcso=0;coso=1;coso=1;coso=0;conull;end case;end process;end architecture fh1;结果如下:任务 2:二进制加法运算规则描述代码如下:library ieee;-行为描述 (抽象描述结构体的功能) use ieee.std_logic_1164.all;entity h_adder2 is- 半加器port(a,b:in std_logic;s,c0:out std_logic);end h_adder2;architecture be_half_adder of h_adder2 is beginprocess(a,b) beginif(a=0 and b=0) then s=0;c0=0; elsif(a=0 and b=1) thens=1;c0=0;elsif(a=1 and b=0) then s=1;c0=0; elses=0;c0=1; end if;end process;end be_half_adder;结果如下:任务 3: 按逻辑表达式设计so=axorb ;co=aandb;代码如下:library ieee;-行为描述半加器(按逻辑表达式)use ieee.std_logic_1164.all;entity h_adder3 is port(a,b:in std_logic; so,co:out std_logic);end h_adder3;architecture fh1 of h_adder3 is beginend architecture fh1;结果如下:任务 4:用基本单元电路与或非描述半加器代码如下:library ieee;use ieee.std_logic_1164.all;entity h_adder4 is port(a:in std_logic;b:in std_logic;sum:out std_logic; co:out std_logic );end h_adder4;architecture ch4 of h_adder4 is signal c,d:std_logic;begin c=a or b;d=a nand b; co=not d; sum=c and d;end architecture ch4;结果如下:任务 5 :结构描述代码如下:-h_adder5 library ieee;use ieee.std_logic_1164.all;entity h_adder5 is port(a,b:in std_logic;co,s: out std_logic);end entity h_adder5; architecture mix of h_adder5 iscomponent xor21 is port(i0,i1:in std_logic;q:out std_logic); end component;begincoa,i1=b,q=s);-例化end architecture mix;-xor21-half_adder 半加器 ,结构描述library ieee;use ieee.std_logic_1164.all; entity xor21 isport(i0,i1:in std_logic;q: out std_logic); end entity xor21;architecture behav of xor21 is beginq=i0 xor i1;end architecture behav;结果如下:五、实验体会通过这次实验,复习了vhdl语
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 高级离婚协议书模板:房产、股权与子女抚养协议
- 离婚后财产重新分配及子女成长费用承担合同
- 离婚协议书中关于共同子女抚养权转移协议书五
- 绿色建筑物业权益转让与节能减排合同
- 离婚协议中共同债务处理与子女抚养责任专题合同
- 智能建筑垃圾清运与环保科技研发合作协议
- 经典离婚协议范本:财产分割与子女抚养详细规定
- 离婚子女轮流抚养期间生活照料协议
- 2025年疼痛科疼痛评估与镇痛方案设计考核答案及解析
- 口语交际应对课件
- 人教版(2024)八年级上册数学全册教案
- (高清版)DB11∕T 2440-2025 学校食堂病媒生物防制规范
- GB/T 7324-2010通用锂基润滑脂
- 品管圈提高痰培养标本留取率
- 护理管理学第五章 人力资源管理
- TSG11-2020 锅炉安全技术规程
- 物业小区绿化服务程序
- 土地管理法(1986年版)
- 动物遗传学第十章遗传病的传递方式.ppt
- 延期缴纳税款申请报告申请延期缴纳税款报告2p.doc
- 高压燃气管道带压不停输封堵改管技术
评论
0/150
提交评论