




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、诚信应考诚信应考,考试作弊将带来严重后果!考试作弊将带来严重后果! 华南理工大学期末考试华南理工大学期末考试 数字系统设计(全英课)数字系统设计(全英课) 试卷试卷 B B (2014.1.162014.1.16) 注意事项:注意事项:1.1. 考前请将密封线内各项信息填写清楚;考前请将密封线内各项信息填写清楚; 2.2. 所有答案请在试卷上答题;所有答案请在试卷上答题; 3 3考试形式:闭卷;考试形式:闭卷; 4.4. 本试卷共本试卷共 三三 大题,满分大题,满分 100100 分,分,考试时间考试时间 120120 分钟分钟。 题题 号号一一二二三三总分总分 得得 分分 评卷人评卷人 1.
2、 Multiple choice test(210=20 marks) 1. Which of the following VHDL data types can be used directly, without explicit declaration? ( C ) A. STD_LOGIC ;B. STD_LOGIC_VECTOR;C. BIT;D. ARRAY 2. Which of the following statements on sequential circuit is true( B ) A. In synchronous circuit, the actions of
3、Flip-Flops are not necessarily synchronized by the same clock signal B. In asynchronous circuit, the states of Flip-Flops dont change simultaneously C. The input change of Moore state machine is directly reflected by output 3. Which of the following statements on PLD is not true ( B ) A. Spartan is
4、the product of Altera B. FPGA is based on product terms C. FPGA is field programmable gate array 4. Which of the following statements is concurrent ?( D ) A. loop statement B.CASE statement C. wait statement D.WHENELSEstatement 5. Which of the following statements on VHDL description of ASM chart is
5、 not true ( C ) AIn one-process description style, output can be synchronized BTwo-process description style can avoid unwanted registers CTwo-process description style consumes more resources than one-process description 6. Which of the following circuits is sequential? (C ) A. Priority Encoder B.
6、3-8 decoder C. Flip-Flop _ _ 姓名 学号 学院 专业 座位号 ( 密 封 线 内 不 答 题 ) 密封线 线 D. XOR gate 7. Which of the following VHDL statements can be used for the description inside the process? ( A ) A. sequential statements B. both sequential and concurrent statements C. concurrent statementsD. any VHDL statement is
7、ok. 8. For state encoding in state machine, which of the following scheme is more simple for decoding at the prices of more Flip-Flops in encoding: ( A ) A. one hot code B. Natural binary code C.Gray code 9. Which of the following statements on VHDL is true? ( B ) A. For a VHDL design, entity is not
8、 unique B. For a VHDL design, architecture is not unique C. For a VHDL design, the circuit after synthesis is unique. 10. Which one is not the basic element of ASM chart? ( D ) A. State box B. conditional output box C. decision box D. transition box 2. Short answer questions( 54=20 marks) 1Please gi
9、ve a brief introduction to the concept of EDA EDA: electronic design automation. In contrast to traditional design which is bottom-up, EDA is top-down design concept. 2Please give a brief introduction to sequential logic circuit, and its classification in terms of output signals Sequential logic cir
10、cuit : The outputs of a system depend on past values of its inputs as well as the present state values.(depend on both present state and history state) It can be classified into synchronous sequential circuit and asynchronous sequential circuit. 3Please clarify the difference between VHDL signal and
11、 VHDL variable, from the perspective of assignment, declaration, and synthesis. Signal is an abstraction of wire in hardware, for connection between components Variable has no corresponding hardware, just for high level computation, or temporal data storage Signal is global, for multiple processes V
12、ariable is local, valid in its process only Signal assignment has delay, while variable assignment takes effect immediately. Signal can carry history information, while variable has current value only. 4. Please specify the difference between inertial delay and transport delay. Inertial delay models
13、 only propagate signals to an output after the input signals have remained unchanged (been stable) for a time period equal to or greater than the propagation delay of the model. If the time between two input changes is shorter than a procedural assignment delay, a continuous assignment delay, or gat
14、e delay, a previously scheduled but unrealized output event is replaced with a newly scheduled output event. Transport delay models propagate all signals to an output after any input signals change. Scheduled output value changes are queued for transport delay models. 3. Comprehension ENTITY MUX IS
15、PORT(oe, a, b, sel: in std_logic; y: out std_logic); END MUX; ARCHITECTURE BEHAV OF MUX IS BEGIN PROCESS(oe,a,b,sel) BEGIN If oe=1 then if sel=0 then y=a; else y=b; end if; else y=Z; end if; END PROCESS: END ARCHITECTURE; 2、Please describe the following RTL diagram using VHDL including VHDL entity a
16、nd VHDL architecture. (10 marks) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DFF2 IS PORT(CLK, A1: IN STD_LOGIC; Z:OUT STD_LOGIC); END; ARCHITECTURE bhv OF DFF2 IS SIGNAL B:STD_LOGIC; BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK =1 THEN B=A1; Z=B; END IF; END PROCESS; END bhv; 3、Please give a
17、VHDL design for a 2-4 decoder, according to the following table. (Both VHDL entity and VHDL architecture are required) (10 marks) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY 24DECO IS PORT(G, B, A: IN STD_LOGIC; Y0,Y1,Y2,Y3: OUT STD_LOGIC); END; ARCHITECTURE BHV OF 24DECO IS SIGNAL SEL:STD_LOG
18、IC_VECTOR(1 DOWNTO 0); SIGNAL TMP: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN SEL TMP TMP TMP TMP TMP=“ZZZZ”; END CASE; ELSE TMP=“0000” END IF; END PROCESS; Y0=TMP(0); Y1=TMP(1); Y2=TMP(2); Y3=TMP(3); END ARCHITECTURE; 4、As a part of testbench, please describe the following signals (6 marks) Signal S1:std_
19、logic; Signal S2:std_logic; Process Begin S1=0; Wait for 10 ns; S1=1; Wait for 5 ns; S1=0; Wait for 10 ns; End process; Process Begin S1=0; Wait for 5 ns; S1=1; Wait for 15 ns; S1=0; Wait for 5 ns; End process; 5、Please complete the VHDL design for a D-Flip-Flop with strobe signal (片选信号片选信号)and asyn
20、chronous reset signal. (Both VHDL entity and VHDL architecture are required) (7 marks) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY DFF IS PORT(CLK, RESET, CS, D: IN STD_LOGIC; QS: OUT STD_LOGIC); END; ARCHITECTURE BHV OF DFF IS BEGIN PROCESS(CLK, RESET) BEGIN IF (RESET = 1) THEN Q = 0; ELSIF R
21、ISING_EDGE(CLK) THEN IF (CS_SELECT = 1) THEN Q = D; END IF; END IF; END PROCESS; END ARCHITECTURE; 6. Please read each piece of the following codes carefully. Does each of them have the same circuit behavior like the following circuit diagram? If no, please give the reasons.(9 marks) (a) process beg
22、in wait until rising_edge(clk); d = not c; c = a and b; end process; (b) process begin wait until rising_edge(clk); c1 = a and b; c2 = not c1; d = c2; end process; (c) process begin wait until rising_edge(clk); c1 = a and b; d = c2; end process; process (c1) begin c2 = not c1; end process; (a) yes: (b) no: extra register is introduced. (c) yes 7、Design a serial data transmitter (串行数据发送器串行数据发送器)。 Parallel data input Z of 8 bits is loaded firstly in the transmitter, and
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 2025年项目借款协议
- 北京第二外国语学院中瑞酒店管理学院《高等数学A1》2023-2024学年第二学期期末试卷
- 2025年物业安保协议
- 2025年无产权车位转让协议
- 2025至2031年中国红萝卜素胶囊行业投资前景及策略咨询研究报告
- 2025至2031年中国男戒模行业投资前景及策略咨询研究报告
- 蚌埠工商学院《中国美术鉴赏A》2023-2024学年第二学期期末试卷
- 白城医学高等专科学校《建筑结构抗震设计实验》2023-2024学年第二学期期末试卷
- 老牌大国的全球历史研究-洞察阐释
- 2025年中国含氟新材料行业上下游产业链全景分析、市场空间预测报告
- 稀土生产工艺流程图矿的开采技术
- 电烤箱温度控制系统设计
- 地基钎探技术交底
- 酸感受离子通道的结构与功能
- (完整版)A4拼音四线格打印模板
- 2023年四川省水电投资经营集团普格电力有限公司招聘笔试题库含答案解析
- 救护车音响电路设计及引力波的实验探测给我们的启示
- 2022-2023学年浙江省温州市瓯海区数学六年级第二学期期末经典试题含解析
- (完整版)高级法学英语课文翻译
- 新六年级英语完形填空60篇(含答案和讲解)
- 无人机项目融资商业计划书
评论
0/150
提交评论