eda课程设计自动售货机的设计_第1页
eda课程设计自动售货机的设计_第2页
eda课程设计自动售货机的设计_第3页
eda课程设计自动售货机的设计_第4页
eda课程设计自动售货机的设计_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、可编辑电子信息科学与技术 专业课程设计任务书学生姓名专业班级学号题 目自动售货机的设计课题性质工程设计课题来源自拟课题指导教师同组姓名主要内容设计制作一个自动售货机,该自动售货机能完成货物信息存储、进程控制、硬币处理、余额计算、显示等功能。自动售货机可以售2种货物,每种货物的数量和单价在初始化时设入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客要求自动售货;钱币不够则给出提示并退出。系统自动地计算出应找钱币余额、库存数量并显示。(提示:钱数以5角为单位。)任务要求根据设计题目要求编写相应程序代码对编写的VHDL程序代码进行编译

2、和仿真总结设计内容,完成课程设计说明书参考文献1焦素敏.EDA课程设计指导书.郑州:河南工业大学,20082潘松,黄继业.EDA技术实用教程.北京:科学出版社,20023王国栋,潘松等.VHDL实用教程.成都:电子科技大学出版社,20004 张亦华,延明.数字电路EDA入门.北京:电子工业出版社,20035 / 中国电子制作网 网站审查意见指导教师签字教研室主任签字 2012年 2月 20日 一 设计说明根据要求可自动出售两种货物,本文设计的自动售货机可销售cola 和 pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买

3、一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。1.1.1 流程说明本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图所示: 退币清零结 束出货找零继续投币综合物价 计算金额投币选 择开始 1.1.2各模块说明 本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块

4、、BCD码译码模块。总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位

5、的二进制数输出端口bcd0、bcd1是两个4位的BCD码。该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD码,以便输出到七段数码管上显示出来。该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。BCD码译码模块:该模块有一个输入端口和一个输出端口1.1.3 程序设计主控模块完整程序如下:Library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shouhuoji isport(clk: in std_logic;

6、 coin1:in std_logic; coin5:in std_logic; cola:in std_logic; pepsi:in std_logic; paid:out std_logic_vector(7 downto 0); needed:out std_logic_vector(7 downto 0); success:out std_logic; failure:out std_logic; showmoneyout:out std_logic; moneyout:out std_logic_vector(7 downto 0);end shouhuoji; architect

7、ure behav of shouhuoji is type state_type is (qa,qb,qe,qc,qg,qd,qf);-定义七个状态 signal current_state :state_type:=qa; signal q:integer range 0 to 100; begin process(clk) variable paidtemp:std_logic_vector(7 downto 0);-定义变量 variable neededtemp:std_logic_vector(7 downto 0); variable backmoney:std_logic_ve

8、ctor(7 downto 0); variable pricetemp:std_logic_vector(7 downto 0); begin if clkevent and clk=1 then case current_state is when qa=paidtemp:=00000000;neededtemp:=00000000; backmoney:=00000000;pricetemp:=00000000;q=0; showmoneyout=0;moneyout=00000000;paid=00000000; needed=00000000;failure=0;success=0;

9、 if cola=1 or pepsi=1 then current_state=qb; if cola=1 then pricetemp:=pricetemp+00001111; neededtemp:=pricetemp; Else pricetemp:=pricetemp+00010100; neededtemp:=pricetemp; end if; end if; paid=paidtemp; neededif coin1=1 or coin5=1 then if coin1=1then paidtemp:=paidtemp+00001010; else paidtemp:=paid

10、temp+00000101; end if; if paidtemp=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc;q=0; end if; paid=paidtemp; needed=neededtemp; end if; if q8 then q=q+1; if cola=1 or pepsi=1then q=0; if

11、cola=1 then pricetemp:=pricetemp+00001111; neededtemp:=neededtemp+00001111; else pricetemp:=pricetemp+00010100; neededtemp:=neededtemp+00010100; end if; paid=paidtemp; needed=neededtemp; end if; else current_state=qe;qfailure=1; if q4 then q=q+1; else current_state=qa;qif coin1=1 or coin5=1 then if

12、coin1=1then paidtemp:=paidtemp+00001010; else paidtemp:=paidtemp+00000101; end if; if paidtemp=pricetemp then backmoney:=paidtemp-pricetemp; neededtemp:=00000000;current_state=qd; else neededtemp:=pricetemp-paidtemp;backmoney:=00000000; current_state=qc; end if; paid=paidtemp; needed=neededtemp; end

13、 if; if coin1/=1and coin5/=1 then if q10 then q=q+1; else current_state=qg; end if; else qfailure=1; showmoneyout=1;moneyout=paidtemp; current_state=qf;q=0; successsuccess00000000then showmoneyout=1; moneyout=backmoney; end if; current_state=qf;qif q4 then q=q+1; else current_state=qa;qbcd0=0000;bcd

14、1bcd0=0001;bcd1bcd0=0010;bcd1bcd0=0011;bcd1bcd0=0100;bcd1bcd0=0101;bcd1bcd0=0110;bcd1bcd0=0111;bcd1bcd0=1000;bcd1bcd0=1001;bcd1bcd0=0000;bcd1bcd0=0001;bcd1bcd0=0010;bcd1bcd0=0011;bcd1bcd0=0100;bcd1bcd0=0101;bcd1bcd0=0110;bcd1bcd0=0111;bcd1bcd0=1000;bcd1bcd0=1001;bcd1bcd0=0000;bcd1null;end case;end p

15、rocess;end one;顶层模块完整程序如下:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top isPort( clk1:in std_logic; C1,C5,P1_5,P2:in std_logic; paid_lcd0,paid_lcd1,needed_lcd0,needed_lcd1,Mout_lcd0,Mout_lcd1:out std_logic_vector(3 downto 0); s,f,show

16、out :out std_logic );end top;architecture one of top iscomponent shouhuojiport ( clk:in std_logic; coin1:in std_logic; coin5:in std_logic; cola:in std_logic; pepsi:in std_logic; paid:out std_logic_vector(7 downto 0); needed:out std_logic_vector(7 downto 0); success:out std_logic; failure:out std_log

17、ic; showmoneyout:out std_logic; moneyout:out std_logic_vector(7 downto 0) ); end component;component code1port( b:in std_logic_vector( 7 downto 0); bcd0:out std_logic_vector(3 downto 0); bcd1:out std_logic_vector(3 downto 0);end component;signal p,n,mo:std_logic_vector( 7 downto 0);-signal s1,s2,s3,

18、s4,s5,s6:std_logic_vector(3 downto 0);begin u0:shouhuoji-各模块连接 port map(clk=clk1,coin1=C1,coin5=C5,cola=P1_5,pepsi=P2,success=s,failure=f,showmoneyout=showout,paid=p,needed=n,moneyout=mo);u1:code1 port map(b=p,bcd0=paid_lcd0,bcd1=paid_lcd1);u2:code1 port map(b=n,bcd0=needed_lcd0,bcd1=needed_lcd1);u3

19、:code1 port map(b=mo,bcd0=Mout_lcd0,bcd1=Mout_lcd1); end one; 1.1.4各模块的连接 各模块的连接如图所示: 售货机主控系统和译码器模块图 售货机顶层模块2、1 主控模块的仿真 上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。failure为高电平,代表售货机无饮料售出。且余额为已投的金额,将钱退还给顾客。.2.BCD码译码的仿真上图表示自动售货机的译码系统,上图表示将8位数字转换成位BCD码。3.TOP文件的仿真上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。Failure为高电平,代表售货机无饮料售出。Sh

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论