基于fpga的点阵单向滚动显示程序文件_第1页
基于fpga的点阵单向滚动显示程序文件_第2页
基于fpga的点阵单向滚动显示程序文件_第3页
基于fpga的点阵单向滚动显示程序文件_第4页
已阅读5页,还剩4页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、.基于 FPGA的 8*8 点阵显示器设计基于 FPGA的 88 点阵显示器(88 点阵显示器选用的是列共阴显示器)FPGA与硬件连接时通过驱动电路实现汉字显示。以下为软件部分;通过逐列扫描来显示汉字。现实的为“ 08 电气 2”的字样。拓展功能为向左滚动由开关控制滚动红字为字库部分,控制所要显示的是什么汉字蓝字为分频模块,控制扫描频率与滚动速度绿字为逐列扫描模块,library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity dian is

2、port(clk,k:in std_logic;com:out std_logic_vector(7 downto 0);lie:out std_logic_vector(7 downto 0);end dian;architecture one of dian issignal st:std_logic_vector(7 downto 0);signal osc:std_logic;signal osd:std_logic;signal dff:std_logic_vector(24 downto 0);signal data:std_logic_vector(7 downto 0);sig

3、nal d0,d1,d2,d3,d4,d5,d6,d7:std_logic_vector(7 downto 0); signal lie0:std_logic_vector(7 downto 0);begincom=data;lie=st;d0=00000000when lie0=00000000else01111100when lie0=00000001else10000010when lie0=00000010else10000010when lie0=00000011else01111100when lie0=00000100else00000000when lie0=00000101e

4、lse01101100when lie0=00000110else.10010010when lie0=00000111else10010010when lie0=00001000else01101100when lie0=00001001else00000000when lie0=00001010else00111110when lie0=00001011else00101010when lie0=00001100else11111111when lie0=00001101else10101010when lie0=00001110else10111110when lie0=00001111

5、else11000000when lie0=00010000else00000000when lie0=00010001else00000100when lie0=00010010else00100010when lie0=00010011else00101011when lie0=00010100else00101010when lie0=00010101else00101010when lie0=00010110else11101010when lie0=00010111else10000000when lie0=00011000else11000000when lie0=00011001

6、else00000000when lie0=00011010else11000100when lie0=00011011else10100010when lie0=00011100else10010010when lie0=00011101else10001100when lie0=00011110else00000000when lie0=00011111else00000000;d1=01111100when lie0=00000000else10000010when lie0=00000001else10000010when lie0=00000010else01111100when l

7、ie0=00000011else00000000when lie0=00000100else01101100when lie0=00000101else10010010when lie0=00000110else10010010when lie0=00000111else01101100when lie0=00001000else00000000when lie0=00001001else00111110when lie0=00001010else00101010when lie0=00001011else11111111when lie0=00001100else10101010when l

8、ie0=00001101else10111110when lie0=00001110else11000000when lie0=00001111else00000000when lie0=00010000else.00000100when lie0=00010001else00100010when lie0=00010010else00101011when lie0=00010011else00101010when lie0=00010100else00101010when lie0=00010101else11101010when lie0=00010110else10000000when

9、lie0=00010111else11000000when lie0=00011000else00000000when lie0=00011001else11000100when lie0=00011010else10100010when lie0=00011011else10010010when lie0=00011100else10001100when lie0=00011101else00000000when lie0=00011110else00000000when lie0=00011111else00000000;d2=10000010when lie0=00000000else1

10、0000010when lie0=00000001else01111100when lie0=00000010else00000000when lie0=00000011else01101100when lie0=00000100else10010010when lie0=00000101else10010010when lie0=00000110else01101100when lie0=00000111else00000000when lie0=00001000else00111110when lie0=00001001else00101010when lie0=00001010else1

11、1111111when lie0=00001011else10101010when lie0=00001100else10111110when lie0=00001101else11000000when lie0=00001110else00000000when lie0=00001111else00000100when lie0=00010000else00100010when lie0=00010001else00101011when lie0=00010010else00101010when lie0=00010011else00101010when lie0=00010100else1

12、1101010when lie0=00010101else10000000when lie0=00010110else11000000when lie0=00010111else00000000when lie0=00011000else11000100when lie0=00011001else10100010when lie0=00011010else.10010010when lie0=00011011else10001100when lie0=00011100else00000000when lie0=00011101else00000000when lie0=00011110else

13、01111100when lie0=00011111else00000000;d3=10000010when lie0=00000000else01111100when lie0=00000001else00000000when lie0=00000010else01101100when lie0=00000011else10010010when lie0=00000100else10010010when lie0=00000101else01101100when lie0=00000110else00000000when lie0=00000111else00111110when lie0=

14、00001000else00101010when lie0=00001001else11111111when lie0=00001010else10101010when lie0=00001011else10111110when lie0=00001100else11000000when lie0=00001101else00000000when lie0=00001110else00000100when lie0=00001111else00100010when lie0=00010000else00101011when lie0=00010001else00101010when lie0=

15、00010010else00101010when lie0=00010011else11101010when lie0=00010100else10000000when lie0=00010101else11000000when lie0=00010110else00000000when lie0=00010111else11000100when lie0=00011000else10100010when lie0=00011001else10010010when lie0=00011010else10001100when lie0=00011011else00000000when lie0=

16、00011100else00000000when lie0=00011101else01111100when lie0=00011110else10000010when lie0=00011111else00000000;d4=01111100when lie0=00000000else00000000when lie0=00000001else01101100when lie0=00000010else.10010010when lie0=00000011else10010010when lie0=00000100else01101100when lie0=00000101else00000

17、000when lie0=00000110else00111110when lie0=00000111else00101010when lie0=00001000else11111111when lie0=00001001else10101010when lie0=00001010else10111110when lie0=00001011else11000000when lie0=00001100else00000000when lie0=00001101else00000100when lie0=00001110else00100010when lie0=00001111else00101

18、011when lie0=00010000else00101010when lie0=00010001else00101010when lie0=00010010else11101010when lie0=00010011else10000000when lie0=00010100else11000000when lie0=00010101else00000000when lie0=00010110else11000100when lie0=00010111else10100010when lie0=00011000else10010010when lie0=00011001else10001

19、100when lie0=00011010else00000000when lie0=00011011else00000000when lie0=00011100else01111100when lie0=00011101else10000010when lie0=00011110else10000010when lie0=00011111else00000000;d5=00000000when lie0=00000000else01101100when lie0=00000001else10010010when lie0=00000010else10010010when lie0=00000

20、011else01101100when lie0=00000100else00000000when lie0=00000101else00111110when lie0=00000110else00101010when lie0=00000111else11111111when lie0=00001000else10101010when lie0=00001001else10111110when lie0=00001010else11000000when lie0=00001011else00000000when lie0=00001100else.00000100when lie0=0000

21、1101else00100010when lie0=00001110else00101011when lie0=00001111else00101010when lie0=00010000else00101010when lie0=00010001else11101010when lie0=00010010else10000000when lie0=00010011else11000000when lie0=00010100else00000000when lie0=00010101else11000100when lie0=00010110else10100010when lie0=0001

22、0111else10010010when lie0=00011000else10001100when lie0=00011001else00000000when lie0=00011010else00000000when lie0=00011011else01111100when lie0=00011100else10000010when lie0=00011101else10000010when lie0=00011110else01111100when lie0=00011111else00000000;d6=01101100when lie0=00000000else10010010wh

23、en lie0=00000001else10010010when lie0=00000010else01101100when lie0=00000011else00000000when lie0=00000100else00111110when lie0=00000101else00101010when lie0=00000110else11111111when lie0=00000111else10101010when lie0=00001000else10111110when lie0=00001001else11000000when lie0=00001010else00000000wh

24、en lie0=00001011else00000100when lie0=00001100else00100010when lie0=00001101else00101011when lie0=00001110else00101010when lie0=00001111else00101010when lie0=00010000else11101010when lie0=00010001else10000000when lie0=00010010else11000000when lie0=00010011else00000000when lie0=00010100else11000100wh

25、en lie0=00010101else10100010when lie0=00010110else.10010010when lie0=00010111else10001100when lie0=00011000else00000000when lie0=00011001else00000000when lie0=00011010else01111100when lie0=00011011else10000010when lie0=00011100else10000010when lie0=00011101else01111100when lie0=00011110else00000000when lie0=00011111else00000000;d7=2

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论