数字逻辑电路实验指导书(2016)_第1页
数字逻辑电路实验指导书(2016)_第2页
数字逻辑电路实验指导书(2016)_第3页
数字逻辑电路实验指导书(2016)_第4页
数字逻辑电路实验指导书(2016)_第5页
已阅读5页,还剩29页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、1 xuzhou institute of technology 数字逻辑电路实验指导书数字逻辑电路实验指导书 使用班级:使用班级:1515 级计算机专业级计算机专业 2016 年 9 月 2 目目 录录 学生实验守则学生实验守则.3 电工电子实验室安全制度电工电子实验室安全制度.4 实验报告要求实验报告要求.5 实验一实验一 thd-1 数字电路箱的使用数字电路箱的使用.6 实验二实验二 ttl 集成门电路集成门电路.8 实验三实验三 组合逻辑电路设计组合逻辑电路设计.11 实验四实验四 综合实验(组合电路)综合实验(组合电路).14 实验五实验五 译码器、显示器译码器、显示器.15 实验六

2、实验六 触发器触发器.18 实验七实验七 计数器及其应用计数器及其应用.23 实验八实验八 555 定时器定时器.26 实验九实验九 移位寄存器移位寄存器.30 实验十实验十 综合实验(时序电路)综合实验(时序电路).33 附录附录 1 v-252 型双踪示波器型双踪示波器.34 附录附录 2 ee1641b 型函数信号发生器型函数信号发生器.38 附录附录 3 sx2172 型交流毫伏表型交流毫伏表.40 附录附录 4 vc9801+型数字万用表型数字万用表.42 附录附录 5 ewb 电子仿真软件电子仿真软件.44 3 学生实验守则学生实验守则 一、一、参参加实验时应衣冠整洁。进入实验室后

3、应保持安静,不要大加实验时应衣冠整洁。进入实验室后应保持安静,不要大 声喧哗和打闹,妨碍他人学习和实验。不准吸烟,不准随地吐痰,不准声喧哗和打闹,妨碍他人学习和实验。不准吸烟,不准随地吐痰,不准 乱扔纸屑与杂物。乱扔纸屑与杂物。 二、进行实验时必须严格遵守实验室的规章制度和仪器操作规程。二、进行实验时必须严格遵守实验室的规章制度和仪器操作规程。 爱护仪器设备,节约实验器材,未经许可不得乱动实验室的仪器设备。爱护仪器设备,节约实验器材,未经许可不得乱动实验室的仪器设备。 三、注意人身安全和设备安全。若仪器出现故障,要立即切断电源三、注意人身安全和设备安全。若仪器出现故障,要立即切断电源 并立即向

4、指导教师报告,以防故障扩大。待查明原因、排除故障之后才并立即向指导教师报告,以防故障扩大。待查明原因、排除故障之后才 可继续进行实验。可继续进行实验。 四、要以严格、认真的科学态度进行实验,结合所学理论,独立思四、要以严格、认真的科学态度进行实验,结合所学理论,独立思 考,分析研究实验现象和数据。考,分析研究实验现象和数据。 五、实验完毕后必须收拾整理好自己使用的仪器设备,保持实验台五、实验完毕后必须收拾整理好自己使用的仪器设备,保持实验台 整洁,填写实验仪器使用记录。在归还实验仪器后,才能离开。整洁,填写实验仪器使用记录。在归还实验仪器后,才能离开。 六、违反实验室规章制度和仪器设备操作规程

5、造成事故、导致仪器六、违反实验室规章制度和仪器设备操作规程造成事故、导致仪器 设备损坏者,将视情节轻重按实验室设备管理制度处理及赔偿。设备损坏者,将视情节轻重按实验室设备管理制度处理及赔偿。 4 电工电子实验室安全制度电工电子实验室安全制度 一、每个实验室要有专人担任安全员,负责本室的各项安全工作。每个实验室要有专人担任安全员,负责本室的各项安全工作。 并定期进行安全检查,发现问题及时向领导和有关部门汇报。并定期进行安全检查,发现问题及时向领导和有关部门汇报。 二、实验室总电源应有专人负责,各分室电源应有指示灯指示。二、实验室总电源应有专人负责,各分室电源应有指示灯指示。 三、实验室内不准吸烟

6、。要经常检查室内电源设备状况。各种用电三、实验室内不准吸烟。要经常检查室内电源设备状况。各种用电 设备使用完毕后要断开电源。设备使用完毕后要断开电源。 四、实验室钥匙不能出借他四、实验室钥匙不能出借他人,实验室所有仪器设备的配置、维修、人,实验室所有仪器设备的配置、维修、 拆卸等都必须做好记录并严格遵守操作规程,非经有关人员许可不得擅拆卸等都必须做好记录并严格遵守操作规程,非经有关人员许可不得擅 自动用。自动用。 五、每个实验室要配备必要的消防器材(五、每个实验室要配备必要的消防器材( 灭火器、灭火栓灭火器、灭火栓 ) ,消,消 防器材必须定期检查更换。任何人不得随意搬动、拆卸消防器材。防器材

7、必须定期检查更换。任何人不得随意搬动、拆卸消防器材。 六、工作人员离开时必须断开室内电源、水源,关好门窗。六、工作人员离开时必须断开室内电源、水源,关好门窗。 匪警电话匪警电话 110110 火警电话火警电话 119119 5 校保卫处电话校保卫处电话 8320911083209110 实验报告要求实验报告要求 实验前写好预习报告,预习报告要求见各实验章节,实验报告必须实验前写好预习报告,预习报告要求见各实验章节,实验报告必须 用规定的实验报告纸书写。实验报告需附由教师签字的原始数据纸方为用规定的实验报告纸书写。实验报告需附由教师签字的原始数据纸方为 有效。实验内容应有下列各项内容:有效。实验

8、内容应有下列各项内容: 一、实验目的一、实验目的 二、仪器与设备二、仪器与设备 三、原理简述(含实验原理图)三、原理简述(含实验原理图) 四、内容与步骤(含测量数据)四、内容与步骤(含测量数据) 五、总结五、总结 6 实验一 数字电路箱的使用(1505p000101) 一、实验目的 1学习数字电路实验箱的使用。 2掌握万用表的基本工作原理及使用方法。 3. 学会使用 ewb 仿真软件 二、实验仪器 数字电路实验箱 数字万用表 计算机 三、实验内容 1实验箱结构实验箱结构 观察实验箱结构,熟悉各部分区域的功能,为今后使用实验箱完成数字电路 实验做准备。实验箱各部分区域名称如图 1-1 所示。 2

9、测试逻辑开关和发光二极管显示功能测试逻辑开关和发光二极管显示功能 打开实验箱的总电源开关,将逻辑开关右侧 处接+5v 电源,拨动逻辑开 关观察结果,逻辑开关遵循正逻辑,即灯亮表示输出逻辑为 1,灯灭表示输出逻 辑为 0; 用一连线一端插入发光二极管显示输入插孔,另一端插入逻辑开关的输出插 +5v 图 1-1 数字电路实验箱版面图 1 23 4 5 6 直流电源区蜂鸣器继电器 ic 插座区 1 总电源开关 2 脉冲信号区 3 逻辑笔 4 数码管 5 拨码开关 6 逻辑开关 7 发光二极管 7 7 孔,拨动逻辑开关,输出高电平时发光二极管亮,输出低电平时发光二极管灭。 3试单脉冲和连续脉冲输出端功

10、能试单脉冲和连续脉冲输出端功能 在信号区 处接上电源。单脉冲有两种输出方式,按动单脉冲按键,每 按动一下,单脉冲输出处提供由高电平到低电平(或由低电平到高电平)的一个 过程 ; 连续脉冲信号输出处,有三档频率粗调供频率范围选择,将输出频率调至 1hz,发光二极管每秒闪亮一次,调至高频时,并调节微调旋钮,看到发光二极 管恒亮。 (高频时人的肉眼反应不出频率的变化) 。 4测试数码显示功能测试数码显示功能 将数码管的 abcd 端与四个逻辑开关 x0、x1、x2、x3相连接,拨动开关为 0000、0001、0010、0011、0100 可观察 8421 码显示,即可顺序显示 0、1 、2、3、4

11、。 将拨码开关任一组 abcd 与数码管的任一 abcd 相连接,按动拨码开关,观 察显示结果。 5. ewb 仿真软件介绍及使用仿真软件介绍及使用 (见附录) +5v 8 实验二 ttl 集成门电路(1505p000102) 一、实验目的 1掌握 ttl 集成与非门的逻辑功能和性能特点; 2能正确使用各种集成门电路; 3进一步熟悉实验箱结构、基本功能和使用方法。 二、实验仪器 thd-1 数字电路实验箱 万用表 集成片 74ls20、74ls86 三、实验原理 门电路是组成数字电路的最基本的单元,包括与非门、与门、或门、或非门、 与或非门、异或门、集成电极开路与非门和三态门等。最常用的集成门

12、电路有 ttl 和 cmos 两大类。ttl 为晶体管晶体管逻辑的简称,广泛的应用于中小规 模电路,功耗较大。 本实验所用的 74ls20 是四输入双与非门。即在一块芯片内含有两个相互独 立的与非门,每个与非门含有四个输入端。其逻辑表达式为 y=abcd,逻辑符号 及引脚排列如图 1。 图 1 74ls20 管脚 图 1234567 891 0 1 1 1 2 1 3 1 4 & & vcc gnd 9 正逻辑的前提下,输入端只要一个为低电平,输出就为高电平。描述与非门 的输入、输出关系可以用电压传输特性表示,见图 2 从电压传输特性曲线上可以 读出输出高电平 uoh,输出低电平 uol,开门

13、电平 uon,关门电平 uoff等参数。 实际的门电路 uoh和 uol并不是恒定值,由于产品的分散性,每个门之间都有差 异 。在 ttl 电路中,常常规定高电平的标准值为 3v,低电平的标准值为 0.2v。 从 0v 到 0.8v 都算作低电平,从 2v 到 5v 都算作高电平,超出了这一范围是不允许 的,因为这不仅会破坏电路的逻辑关系,而且还可能造成器件性能下降甚至损坏。 图 2 电压传输特性曲线 图中:uoh:指一个(或几个)输入端是低电平时输出的电平; uol:指输入指端全为高电平时输出的电平; uon:在额定负载下得到规定的低电平,输入端应加的最小输入电平; uoff:通常规定保证输

14、出电压为标准高电平的条件下所允许的最大输入 电平。 四、实验步骤 1ttl 与非门的逻辑功能与非门的逻辑功能 在数字箱 14 芯 ic 插座上,将芯片的小缺口与 ic 插座的缺口对准插上 74ls20 四输入双与非门集成元件。按照图 1 接线,14 脚接+5v 电源,7 脚接地, 接线后检查无误,通电,按照表 1 改变 a、b、c、d 状态,观察记录输出状态; 从实验结果中写出逻辑表达式 y。 表 1 a b c dy uol uoh uo uoff uon ui 10 2与非门电压传输特性测试与非门电压传输特性测试 用 74ls20 元件中的任一四输入与非门按照 3 连接线 路.接线检查无误

15、后,通电,准备测试。调节电位器,使输 入电压 vi从零逐渐增大(用万用表测量电压的大小) ,按 照表 2 求,同时测量对应的输出 vo的数值,将其填入表 2 中。 表 2 根据表 2 的结果,在坐标纸上画出电压传输特性(uo=f(ui)的关系),并求 出开门电平 uon,关门电平 uoff值。 3异或门的逻辑功能测试异或门的逻辑功能测试 74ls86 为二输入四异或门元件,即芯片内含有四个异或门。如图 4,先将 vcc接+5v 电源 gnd 接地;任选一异或门(如 1,2 脚接逻辑开关的输出电平, 3 脚接发光二极管) 测试异或门的逻辑特性并记入表 3 中。 表 3 输入 ui (v) 0.2

16、0.40.60.80.91.01.11.21.31.41.61.82.0 输出 uo (v) a by 图 3 输特性测试电路 5 7 14 1 2 4 +5 v w u i 6 r uo 1234567 891 0 1 1 1 2 1 3 1 4 vcc gnd 1 =1 i ab 1 =1 11 五、总结 1在数字电路箱上使用集成芯片时应注意哪些问题? 2ttl 系列芯片与 cmos 芯片的区别。 实验三 组合逻辑电路设计(1505p000104) 一、实验目的 1掌握组合电路的一般设计方法; 2掌握半加器、全加器逻辑功能,并用元件实现之, 3根据给定的实际逻辑要求,设计出最简单的逻辑电路

17、图。 二、实验仪器 thd-1 数字电路箱 集成片 74ls20,74ls00,74ls86 三、实验原理 数字系统中常用的各种数字部件,就其结构和工作原理而言可分为两大类, 即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各 输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门。时序逻辑电路 输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本 单元一般是触发器。 组合逻辑电路的设计步骤一般为: (1)根据逻辑要求列出真值表; (2)从真值表中写出逻辑表达式; (3)化简逻辑表达式,并选用适当的器件; 图 4 74ls86 管脚 图 12 (4)根据

18、选用的器件,画出逻辑图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器 件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作 速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简 设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提 下,尽量使用最少的器件,以降低成本。 组合逻辑设计过程常是在理想的情况下进行的。实际工作中,可能会出现 瞬间错误。 四、实验内容 1设计一个路灯控制电路并用设计一个路灯控制电路并用 ewb 软件仿真实现要求:软件仿真实现要求: 2全减器的设计全减器的设计 要求:根据实验室提供的器件,按照组合逻辑电

19、路的设计步骤自行要求:根据实验室提供的器件,按照组合逻辑电路的设计步骤自行 设计,并实现。设计,并实现。 1234567 891 0 1 1 1 2 1 3 1 4 74ls00 管脚图 vc c gn d & & & & 13 实验四 触发器(1505p000105) 一、实验目的 1掌握基本 rs、d、jk 触发器的逻辑功能; 2掌握集成触发器的使用方法和逻辑功能的测试方法; 3. 掌握触发器的设计方法 二、实验仪器 thd-1 数字电路箱 集成片 74ls00,74ls74,74ls112 三、实验原理 触发器具有两个稳定状态,用以表示逻辑状态1和0,在一定的外界信号作 用下,可以从一个

20、稳定状态翻转到另一个稳定状态,它是一个具有记忆功能的二 进制信息存贮器件,是构成各种时序电路的最基本逻辑单元。 1 基本基本 rs 触发器触发器 14 图 1 为由两个与非门交叉耦合构成的基本 rs 触发器, 它是无时钟控制低电平 直接触发的触发器。基本 rs 触发器具有置0、置1和保持三种功能。通常称 s 为置1端,因为 s0 时触发器被置1;r 为置0端,因为 r0 时触发器被 置0,当 sr1 时状态保持。 基本 rs 触发器也可以用两个“或非门”组成,此时为高电平触发有效。 2 d 触发器触发器 在输入信号为单端的情况下,d 触发器用起来最为方便, 其状态方程为 qn+1 dn 其输出

21、状态的更新发生在 cp 脉冲的上升沿,故又称为上升沿触发的边沿触发器, 触发器的状态只取决于时钟到来前 d 端的状态,d 触发器的应用很广,可用作数 字信号的寄存,移位寄存,分频和波形发生等。有很多种型号可供各种用途的需 要而选用。如双 d(74ls74,cc4013), 四 d (74ls175 , cc4042),六 d (74ls174 cc14174),八 d (74ls374) 等。 图 2 为双 d74ls74 的引脚排列和逻 辑符号。 q q & rs 图 1 基本 rs 触发 器 1234567 891 0 1 1 1 2 1 3 1 4 图 2 74ls74 管脚图 2rd

22、1sd 2d2c p 2sd2q 2q vcc 1d 1c p 1q 1sd1q1rd 1sd gnd 15 3 jk 触发器触发器 在输入信号为双端的情况下,jk 触发器是功能完善、使用灵活和通用性较强的一种触发 器。本实验采用 74ls112 双 jk 触发器, 是下降边沿触发的边沿触发器。引脚功能及逻辑符号 如图 3 所示。 jk 触发器的状态方程为: qjqnkqn j 和 k 是数据输入端,是触发器状态更新的依据,若 j、k 有两个或两个以上 输入端时,组成“与”的关系。q 与 q 为两个互补输出端。通常把 q0、q1 的状态定为触发器0状态;而把 q1,q0 定为1状态。 jk 触

23、发器常被用 作缓冲存储器,移位寄存器和计数器。 四、实验内容 1基本基本 rs 触发器触发器 按图 1,用两个与非门(选用 74ls00)组成基本 rs 触发器,输入端 r、s 接实验箱的逻辑开关 ,输出端 q、 接逻辑电平显示输入插口,按表 1 的要求 测试,记录之。 (74ls00 的芯片引脚图见前面的实验中) 表 1 q输出状态 2d 触发器触发器 12345678 91 0 1 1 1 2 1 3 1 4 图 3 74ls112 管脚图 1 5 1 6 1 k 1jgn d vcc 1q 1sd1q1cp 1sd 2q 1rd 2rd 2 k 2cp 1sd 2j 2q 2sd q r

24、sq 16 用门电路设计 d 触发器,画出逻辑图并进行下列验证,记录结果: (1) 测试 rd、sd 的复位、置位功能。先将芯片的电源端和地端连接好, 对应的 rd 和 sd 接逻辑开关,q 和 接发光二极管,按照表 2 要求改变 、 ,并记录输出结果(“”为任意状态) 。置位是指将 q 置“0”或“1” ,复位指 置位后,将 、 都恢复为 00 或 11 状态,以准备接受 cp 脉冲触发翻转。 表 2 dcp q 01 10 (2) 测试 d 触发器的逻辑功能 根据上面设计的 d 触发器。d、 端 接逻辑开关,cp 端接单脉冲 (signle ulse),q 端接发光二极管。 按表 5-3

25、的要求进行测试,测试时,用 端置位(即:使 qn=1 或 0) ,然后复位(将 置“1、1”状态) 。 按 cp 脉 冲触发,并观察触发器状态更新是否发生在 cp 脉冲的上升沿(即由 01) ,记 录之。 表 3 3、jk 触发器设计及其测试 用 74ls00、74ls04、74ls20 等芯片设计 jk 触发器,画出逻辑图,并进 行下列测试,记录结果。 (1)测试 rd、sd 的复位、置位功能 dcpqnqn+1 0 1 0 0 1 0 1 0 1 1 sd 1sd q rd 1sd sd 1sd rd 1sd sd 1sd q rd 1sd rd 1sd sd 1sd rd 1sd sd

26、1sd rd 1sd sd 1sd 17 rd、sd、j、k 端接逻辑开关,cp 端接单次脉冲源,q、端接发光二极管。q 按照表 4 要求, 测试并记录 rd、sd 对输出状态的控制作用。 表 4 (2)测试 jk 触发器的逻辑功能 按表 5 的要求改变 j、k 状态,并用 rd、sd 端对触发器进行异步置位和复位。 然后输入单脉冲的下降沿和上升沿,观察并记录 状态变化, 观察触发器 状态更新是否发生在 cp 脉冲的下降沿(即 cp 由 10) 。 表 5 jkcpqnqn+1 0 1 0 00 1 0 1 0 01 1 0 10 1 jkcp 01 10 q q rd 1sd sd 1sd

27、qq 18 0 1 0 1 0 11 1 五、总结 1列表整理各类触发器的逻辑功能,并说明触发方式。 2列举实际中触发器的应用。 3利用普通的机械开关组成的数据开关所产生的信号是否可作为触发器的时 钟脉冲信号?为什么?是否可以用作触发器的其它输入端的信号,为什么? 实验五 计数器及其应用(1505p000106) 一、实验目的 1学习用集成触发器构成计数器的方法,了解计数器的工作原理; 2掌握中规模集成计数器(74ls390)的使用方法及功能测试方法。 3. 用 74ls390 设计一般的计数器。 二、实验仪器 thd-1 数字电路箱 集成片 74ls112,74ls390 19 三、实验原理

28、 计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数,还常 用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功能。 计数器种类很多。按构成计数器中的各触发器是否使用一个时钟脉冲源来分, 有同步计数器和异步计数器。根据计数制的不同,分为二进制计数器,十进制计 数器和任意进制计数器。根据计数的增减趋势,又分为加法、减法和可逆计数器。 还有可预置数和可编程序功能计数器等等。目前,无论是 ttl 还是 cmos 集成 电路, 都有品种较齐全的中规模集成计数电路。使用者只要借助于器件手册提供 的功能表和工作波形图以及引出端的排列,就能正确地运用这些器件。 1用 j、k 触发器构成异步二

29、进制加减计数器 图中的加法计数器是用四只触发器构成的四位二进制异步加法计数器, 它的 连接特点是将低位 j、k 触发器 cp 端接 cp 脉冲,再由低位触发器的 q 端和高一 位的 cp 端连接。 若将图稍加改动,即将低位触 发器的 q 端与高一位的 cp 端相连 接,即构成了一个 4 位二进制减法 计数器, 2中规模十进制计数器 74ls390 是 集成双十进制可 逆计数器,具有双时钟输入, 并 具有清除等功能,其引脚排列及逻 辑符号如图 1 所示。 四、实验内容 1用 74ls 112 触发器构成 4 位二进制异步加法计数器。 图 1 74ls390 管脚 图 12345678 9 10

30、111213 14 1516 gnd vcc 1c1rd1qa 1c 1qb1qc1qd 2c 2rd2qa2c 2qb2qc2qd c rd qrd c q 0 q 1 q 2 cp q jq k cp q jq k cp q jq k cp q jq k clr 清零 计数输入 q0q1q2q3 图 2 四位二进制加法计数 器 20 (1)按图 2 连接,触发器的 j、k、sd 端接高电平(防止外界干扰) ,清零端 rd接逻辑开关,cp 端接单次脉冲。 (2) rd清零、复位后,逐个送入单次脉冲,观察并列表记录 q3q0状态。 (3)将单次脉冲改为 1hz的连续脉冲,观察 q3q0的状态。

31、 (4)将 1hz 的连续脉冲改为 1khz,用双踪示波器观察 cp、q3、q2、q1、q0端波形,描绘之。 2.将图 3 电路中的低位触发器的 q 端与高一位的 cp 端相连接,构成减法计 数器,按实验内容(2) , (3) , (4)进行实验,观察并列表记录 q3q0的状态。 3测试 74ls 390 十进制计数功能。 (1) 计数脉冲由 1hz连续脉冲源提供,清零端接逻辑开关,输出端 a、b、c、d 接实验箱的译码芯片相应插口 a、b、c、d(分别选择个位和十位) 。 cp q jq k cp q jq k cp q jq k cp q jq k 清零 clr q0q1 q2q3 图 3

32、 四位二进制减法计数 器 21 (2) 清零后复位,观察输出结果,列表记录之 4. 用 74ls390 设计 60 进制计数器,画出逻辑图,并连线测试。 五、总结 对实验结果进行分析,总结各种进制的线路图连线规律。 1qa1qb1qc1qd 1c 1c 1rd 2qa2qb2qc2qd 2c 2c 2rd ab cd cd451 1 abc d cd451 1 脉冲输 入 清 零 图 4 两位十进制计数译码显示电 路图 22 实验六 时序逻辑电路仿真设计 (1505p000107) 一、实验内容 设计一个数字钟电路,要求其具有下列功能: 1时、分、秒计数和显示 2 “时”计数采用二十四或十二进

33、制; 3 “分” 、 “秒”计数均采用 60 进制 二、实验方式 1设计电路并仿真,确认电路正确。 2在实验室进行实物连接。 三、实验报告 把设计的电路图截图打印,要求清晰,大小适中。 说明:说明: 1秒脉冲信号可以通过数字电路实验箱上的单次脉冲手动实现,也可用连 续脉冲自动实现。 2设计时需用到的芯片应及时与实验室沟通,以便准备。 23 附录 1 vc9801+型数字万用表 万用表是一种多功能、多量程的电参量测量仪表,一般万用表可测量直流电 流、直流电压、交流电压、电阻和音频电平等,有的还可以测交流电流、电容量、 电感量及半导体的一些参数(如 ) 。vc9801+型数字万用表精度为0.5%。

34、 vc9801+型数字万用表面板图型数字万用表面板图 1 电源开关电源开关(power) 按下为开启状态。 2液晶显示屏液晶显示屏 显示仪表测量的数值。 3量程选择开关量程选择开关 其中直流电压量程范围为 200mv1000v;交流电压量程范围为 200mv700v;直流电流量程范围为 200ua20a;交流电流量程范围为 200ua20a;电阻量程范围为 200200m;电容量程范围为 20uf 4电压电压/电阻(电阻(v/v/)测试端测试端 电压或电阻测试时,红表笔位置。 5公共地(公共地(comcom) 公共地即黑表笔位置。 6电流测试端(电流测试端(ma) 测试电流时红表笔位置。 72

35、0a 电流测试端(电流测试端(20a) 测试20a 电流时,红表笔位置。 8保持开关保持开关(hold) 8 9 10 5 4 2 1 3 11 7 6 24 按下此开关,仪表当前所测数值被保持,显示屏上出现 ,符号,再次按下, 符号消失,退出保持状态。 9背景光按钮背景光按钮(h/l) 按下背景灯亮。 10三极管参数三极管参数 hfe测试端测试端 将三级管按照 npn 或 pnp 管型提示插入,配合量程 hfe测试三极管参数。 11电容测试端电容测试端 将电容按照提示插入,配合量程 c 测试电容器容量。 使用说明:使用说明: 1使用前,应掌握被测量的种类及大小,选择合适的量程,测试表笔的位置

36、。 2将电源开关置于 on 位置。 3如果无法预先估计被测电量的大小,原则上应先将量程放置最高量程挡测量一 次,再视情况逐一减小量程。 4若显示始终为最高位显示数字“1”, 其它位均消失,则说明该量程不满足被称 量的量程,此时应选择更高的量程。 5交直流电压的测量:根据被测量的电压种类(交、直流)选择合适量程,红表 笔插入 v/ 孔,黑表笔插入 com 孔,并将表笔与被测线路并联,读数即显示。测 量直流量时,数字万用表能自动显示极性。 6交直流电流的测量:根据被测量的电压种类(交、直流)选择合适量程,红表 笔插入 ma 孔(20a 时)或 20a 孔(20a 时),黑表笔插入 com 孔,并将

37、万用表 串联在被测电路中即可。测量直流量时,数字万用表能自动显示极性。 7.电阻的测量:将量程开关拨至 的合适量程,被测电阻的值应仅低于该选择量 程,红表笔插入 v 孔,黑表笔插入 com 孔,电阻值直接读数(包括其单位)。 在电阻量程档位时,红表笔为内部电池的正极,黑表笔为负极,这与指针式万用 表正好相反。因此,在利用电阻档测量晶体管、电解电容器等有极性的元器件时, 必须注意表笔的极性。 注意事项注意事项 1测量完毕,应将量程开关拨到最高电压挡,并关闭电源。 2如果用小量程去测量大电压,则会有烧表的危险。如果无法预先估计被测电量 的大小,原则上应先将量程放置最高量程挡测量一次,再视情况逐一减

38、小量程, 尽量使被测值接近于量程。 3禁止在测量高电压(220v 以上)或大电流(0.5a 以上)时换量程,以防止产 生电弧,烧毁开关触点。 4在测电流、电压时,不能带电换量程。 5测电阻时,不能带电测量。因为测量电阻时,万用表由内部电池供电,如果带 电测量则相当于接入一个额外的电源,可能损坏表头。 6使用完毕,应使转换开关放置在交流电压最大挡位上。然后关闭电源开关、背 景灯开关。 hh 25 附录 2 ewb 电子仿真软件 一、电子工作平台(ewb)概述 随着电子技术和计算机技术的发展,电子产 品已与计算机紧密相连,电子产品,电子产品的 智能化日益完善,电路的集成度越来越高,而产 品的更新周

39、期却越来越短。电子设计自动化(eda)技术,使得电子线路的设计 人员能够在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至 印刷电路板的自动设计。ea 是在计算机辅助设计(cad)技术的基础上发展起 来的计算机设计软件系统。与早期的 cad 软件宪兵,eda 软件的自动化程度更 高、功能更完善、运行速度更快,而且操作界面友善,有良好的数据开放性和互 换性。 电子工作平台(ewb)是加拿大 interaction image technologies 公司于八十年 代末、九十年代初推出的电路分析和设计软件,它具有这样一些特点: 1采用图形方式创建电路:绘制电路图需要的元器件、电路仿真

40、需要的测试 仪器均可直接从屏幕上选取; 2提供了较为详细的电路分析功能。 因此,电子设计自动化技术非常适合电子类课程的教学和实验。 二、ewb 的基本界面 1 1ewbewb 的主窗口的主窗口 主要包括:菜单栏、工具栏、元器件库、电路工作区、状态栏、启动/停止开 关及暂停/恢复开关等几部分。 2 2ewbewb 的工具栏的工具栏 工具栏中各个按钮的名称如下:(从左到右) 新建、打开、保存、打印、剪切、复制、粘贴、旋转、水平旋转、垂直翻转、 子电路、分析图、元器件特性、缩小、放大、缩放比例及帮助。 3 3ewbewb 的元器件库栏的元器件库栏 ewb 提供了非常丰富的元器件库和各种常用的测试仪器

41、。 electronics workbench.lnk 26 元器件库栏中各个按钮的名称如下:(从左到右) 自定义器件库 信号源库、基本器件库、二极管库、晶体管库、模拟集成电路 库、混合集成电路库、数字集成电路库、逻辑门器件库、数字器件库、控制器件 库、其它器件库及仪器库。 (1 1) 信号源库(信号源库(sourcessources) 信号源库栏中各个按钮的名称如下(从左到右): 第一行:接地、直流电压源、直流电流源、交流电压源、电压控制电压源、 电压控制电流源、电流控制电压源、电流控制电流源、vcc电压源、vdd电压源及 时钟脉冲; 第二行:调幅源、调频源、压控正弦波、压控三角波、压控方波

42、、压控单脉 冲、分段线性源、压控分段线性源、频移键控 fsk、多项式源及非线性相关源。 (2 2) 基本器件库(基本器件库(basicbasic) 基本器件库栏中各个按钮的名称如下(从左到右): 第一行:连接点、电阻、电容、电感、变压器、继电器、开关、延时开关、 压控开关、流控开关及上拉电阻; 第二行:电位器、排电阻、压控模拟开关、极性电容、可调电阻、可调电感、 无芯线圈、磁芯及非线性变压器。 (3 3) 二极管库(二极管库(diodesdiodes) 27 二极管库栏中各个按钮的名称如下(从左到右): 二极管、稳压二极管、发光二极管、全波桥式整流器、肖特基二极管、单向 晶闸管、双向晶闸管。

43、(4 4) 晶体管库(晶体管库(transistorstransistors) 其中: 分别为 n(p)沟道砷化镓。 (5 5) 模拟集成电路库(模拟集成电路库(analoganalog icsics) 模拟集成电路库栏中各个按钮的名称如下(从左到右): 三端运放、五端运放、九端运放、比较器及锁相环。 (6 6) 混合集成电路库(混合集成电路库(miredmired icsics) 混合集成电路库栏中各个按钮的名称如下(从左到右): a/d 转换器、电流输出 d/a、电压输出 d/a、单稳态触发器及 555 电路。 (7)(7)数字集成电路库(数字集成电路库(digitaldigital ic

44、sics) (8)(8)逻辑门电路库(逻辑门电路库(logiclogic gatesgates) 28 逻辑门电路库栏中各个按钮的名称如下(从左到右): 第一行:与门、或门、非门、或非门、与非门、异或门、同或门、三态缓冲 器、缓冲器及施密特触发器; 第二行:与门(或门、非门、或非门、与非门、异或门、同或门)芯片及缓 冲芯片。 (9)(9)数字器件库(数字器件库(digitaldigital) 数字器件库栏中各个按钮的名称如下(从左到右): 第一行:半加器、全加器、rs 触发器、jk 触发器一(二)型及 d 触发器一 (二)型; 第二行:多路选择器(多路分配器、编码器、算术运算、计数器、移位寄存

45、 器)芯片及触发器芯片。 (10)(10)指示器件库(指示器件库(indicatorsindicators) 指示器件库栏中各个按钮的名称如下(从左到右): 电压表、电流表、灯泡、彩色指示灯、七段数码管、译码数码管、蜂鸣器、 条形光柱及译码条形光柱。 (11)(11)控制器件库(控制器件库(contorlscontorls) 29 控制器件库栏中各个按钮的名称如下(从左到右): 电压微分器、电压积分器、电压比例模块、乘法器、除法器、三端电压加法 器、电压限幅器、电流限幅模块、电压滞回模块及电压变化率模块。 (12)(12)其它器件库(其它器件库(miscellaneousmiscellaneo

46、us) 其它器件库栏中各个按钮的名称如下(从左到右): 熔断器、数据写入器、子电路网表、有耗传输线、无耗传输线、晶体、直流 电机、真空三极管、开关式升压变压器、开关式降压变压器、开关式升降压变压 器、文本框及标题栏。 (13)(13)仪器库仪器库(instruments)(instruments) 仪器库栏中各个按钮的名称如下(从左到右): 数字万用表、函数发生器、示波器、波特图仪、字信号发生器、逻辑分析仪、 逻辑转换仪。 三、ewb 的基本操作方法 1 1电路的创建电路的创建 (1) 元器件的操作 主要包括:元器件的选用;元器件的移动、旋转、复制和删除;元器件标识 (label) 、编号(r

47、eference id) 、数值(value) 、模型参数(model) 、故障 (fault)等特性的设置。 说明: 元器件各种特性的设置可通过双击元器件弹出对话框进行; 编号(reference id)通常由系统自动分配,必要时可以修改,但必 30 须保证编号的唯一性; 故障(fault)选项可供人为设置元器件的隐含故障,包括开路 (open) 、短路(short) 、漏电(leakage) 、无故障(none)等设置。 (2 2) 导线的操作导线的操作 主要包括:导线的连接、弯曲导线的调整、导线颜色的改变及连接点的使用。 说明: 连接点是一个小圆点,存放在无源元件库中,一个连接点最多可以

48、 连接来自四个方向的导线,而且连接点可以赋予标识; 向电路插入元件,可直接将元件拖曳放置在导线上,然后释放即可 插入电路中。 (3 3) 电路图选项的设置电路图选项的设置 circuit/schematic open 对话框可设置标识、编号、数值、模型参数、接点 号等的显示方式及有关栅格(grid) 、显示字体(fonts)的设置,该设置对整个 电路图的显示方式有效。其中接点号是在连接电路时,ewb 自动为每个连接点分 配的。 1 1模拟仪表的使用模拟仪表的使用 (1) 数字万用表 (2) 函数信号发生器 31 (3) 示波器 示波器的图标和面板以及各部分所代表的含义如下所示。 其中: exp

49、and面板扩展按钮; time base时基控制; trigger触发控制;包括: edge上(下)跳变触发 level触发电平 触发信号选择按钮:auto(自动触发按钮) ;a、b(a、b 通道触发 按钮) ;ext(外触发按钮) x(y)positionx(y)轴偏置;y/t、b/a、a/b显示方式选择按 钮(幅度/时间、b 通道/a 通道、a 通道/b 通道) ;ac、0、dcy 轴输入方 式按钮(ac、0、dc) 。 (4) 波特图仪 波特图仪的图标和面板以及各部分所代表的含义如下所示。 其中: magniude(phase)幅度(相频)特性选择按钮; vertical(horizontal) log/lin垂直(水平)坐标类型选择按钮(对数?线 性): f(i)坐标终点(起点) 。 说明:波特图仪有

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论