赵嘉妮数据采集与传输系统设计_第1页
赵嘉妮数据采集与传输系统设计_第2页
赵嘉妮数据采集与传输系统设计_第3页
赵嘉妮数据采集与传输系统设计_第4页
赵嘉妮数据采集与传输系统设计_第5页
已阅读5页,还剩13页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、湖南文理学院芙蓉学院课程设计报告课程名称: 电子技术课程设计 专业班级: 芙蓉通信工程0901班 学生姓名: 赵 妮 指导教师: 伍 宗 富 完成时间: 2011年6月25日 报告成绩: 评阅意见: 评阅教师 日期 教学工作部制题目二 数据采集与传输系统设计 一、任务 设计制作一个用于8路模拟信号采集与单向传输系统。系统方框图参见图1。 二、要求 1基本要求 (1)被测电压为8路05v分别可调的直流电压。系统具有在发送端设定8路顺序循环采集与指定某一路采集的功能。 (2)采用8位a/d变换器。 (3)采用3db带宽为30khz50khz的带通滤波器(带外衰减优于35db/十倍频程)作为模拟信道

2、。 (4)调制器输出的信号峰-峰值vsp-p为01v可变,码元速率16k波特(码元/秒);制作一个时钟频率可变的测试码发生器(如0101码等),用于测试传输速率。 (5)在接收端具有显示功能,要求显示被测路数和被测电压值。 2发挥部分 (1)设计制作一个用伪随机码形成的噪声模拟发生器,伪随机码时钟频率为96khz,周期为127位码元,生成多项式采用 。其输出峰-峰值 为01v连续可调。 (2)设计一个加法电路,将调制器输出 与噪声电压 相加送入模拟信道。在解调器输入端测量信号与噪声峰-峰值之比( ),当其比值分别为1、3、5时,进行误码测试。测试方法:在8路顺序循环采集模式下,监视某一路的显示

3、,检查接收数据的误码情况,监视时间为1分钟。 (3)在( )=3时,尽量提高传输速率,用上述第(2)项的测试方法,检查接收数据的误码情况。 (4)其它(如自制用来定量测量系统误码的简易误码率测试仪,其方框图见图2。 数据采集与传输系统设计 通信工程专业学生:赵妮 指导教师:伍宗富老师(湖南文理学院 电气与信息工程学院,湖南 常德 415000)摘 要:为实现8路数据的采集和单向传输,在发送端和接收端个用一片可以精确设定波特率的89c52单片机。控制数据采集、通信和结果显示;通信方式为fsk调制,锁相解调;为提高通信可靠新,采用二维奇偶校验码和连续发送/三中取二接收。此外,在软件中进行了功能扩展

4、,用户可以通过键盘操作实现数据通道的切换和精确的波特率分档,使整个系统控制更趋向于智能化。abstract: in order to achieve the 8-channel data acquisition and one-way transmission, the transmitter and a receiver can be precisely set with a baud rate of the 89c52 microcontroller. control data acquisition, communication, and results; means of commun

5、ication for the fsk modulation, phase-locked demodulation; to improve the communication reliability of new, two-dimensional parity code and continuous transmission / reception third take the two. in addition, the software for the extensions, users can access data through the keyboard switching and p

6、recise baud rate tranches, so that the whole system control tend to be more intelligent.1 系统结构设计1.1:八路模拟信号的产生与a/d转换器。1.2:发送端得采集与通讯控制器。1.3:二进制数字调制器。1.4:解调器1.5:3db带宽30-50hz的带通滤波器作为模拟通道。1.6:时钟频率可变的测试码发生器。1.7:接收端采集结果显示电路。1.8用伪随机码形成的噪声模拟发生器。2.9加法电路。3.0通信编码与软件纠错。2 系统硬件设计2.1 八路模拟信号的产生与a/d转换 被测电压为05v通过电位器调节

7、的直流电压,a/d变换器采用专业芯片adc0809,分辨率为8位,最大不可调误差小于11.sb。2.2发送端得采集与通讯控制器: 用单片机作为这一控制系统的核心,接受来自adc0809的数据,并利用单片机内置的专用串行通信电路将数据进行并串转换后输出至调制器,单片机通过接口芯片与键盘相连,由键盘控制采集方式是循环采集或选择采集,同时也可以利用键盘进行其他扩展功能的切换,此外,为便于通道监视和误码率测试,在发送端扩展了采集数据的显示功能,在单片机的选择方面,考虑到题目基本要求码元速率为16k波特,发挥部分要求尽量提高传输速率,因此,单片机的串口应可以比较精确的设定波特率,且波特率可变,若采用89

8、c51单片机,由内部定时器作为波特率发生器,其变化受限,不够灵活,16k波特以上只有约30k波特一档,步进过大。而89c52单片机内置专门的波特率发生器,可以以较小的步进精确设定波特率,一方面满足了题目的要求,另一方面也便于在发挥部分进一步提高波特率。2.3 二进制数字调制器: 常用的二进制数字调制方法有,对截波振幅调制的振幅键控(ask),对截波频率调制的移动键控(fsk)和对截波和位调制的相移键控(psk),这几种调制方法比较:首先从频带利用率来说,ask和psk都是2b(b为被调制基带信号的带宽),fsk则相对大一些,要2b+|f1-f2|,其中,f1、f2为fsk的两个截波频率,从误比

9、特率来看,psk的误比特率在相同噪比的情况下,要比fsk和ask低3db,这样看来,用psk应该是最好的,能够达到最好的性能,但是psk有相位模糊问题。这样一来在解调端还要进行差分码的译码,不仅电路上更加复杂,而且差分译码时会引起误码扩散,导致误码率上升,fsk有一种特殊情况,就是当(f1=f2)=n(1/2)比特率,能够产生一种恒定包络,连续相位的调制信号msk,它的优点是能量主要集中在频率的较低处,综合考虑三种调制方式的特点,并结合电路的复杂度情况,最终选择用fsk调制方式,考虑到要尽量提升码元率,并且在16k比特时能满足msk的条件,最终选择两个载波频率为32khz和48khz,并且用单

10、片函数发生芯片xr2206为核心构成fsk调制电路,它在进行fsk调制时相位是连续变化的。2.4 解调器:采用锁相环fsk解调方式,锁相环相当于一个中心频率能够跟踪输入信号频率变化的窄宽滤波器。利用锁相环的跟踪功能,使载波和相位同步提取不仅频率相同,而且相位差也很小,它的窄宽滤波特性,可以改善同步系统的噪声性能,做到低门限鉴频,他的记忆特性,可以使输入信号中断后,在一定时间内保持同步选用集成锁相环mn7411c4046组成fsk解调电路,其最高频率能达到12mhz。完全能满足要求,但使用时应注意正确选择1.pf参数和vco部分的外接电阻参数,以控制锁定频率范围。2.5 3db带宽为30-50h

11、z的带通滤波器: 方案一:有源运放滤波器方案,电路采用阻容元件,体积小,有大量现成的表格可以供设计时查阅,但其干扰较大,对元器件的数值误差敏感,某些情况下在负反馈回路中可能产生正反馈,设置引起自激,调试起来也比较麻烦。 方案二:开关电容滤波器方案,开关电容滤波器克服了方案一的缺点,使用时钟频率控制通阻带,通带波动小,过滤带窄,阻带衰减大,使用专用芯片如1.mf100,可以获得0.1hz-100kha的可调中心频率,以及带外 -60db/十倍频程的衰减是实现题目要求的带通滤波的最佳方案。最后,选择的是有滤波器的方案,采用阻容元件均有高精度、低温裂特性并且经过严格筛选。2.6 时钟频率可变的测试码

12、发生器:由于该测试码主要用于测试传输速率,对于码型没有特别要求,可以采用频率可调的方波信号,用精确波形发生器/压控振荡器芯片icl8038,以及简单的外围电路即可构成线性误差小于百分之零点一,输出频率范围0.。1hz-300khz的v/f转换电路,较好的满足了生成测试码的要求,但此电路频率稳定度较差。2.7 接收端采集结果显示电路:使用一片89c52作为数据采集-显示系统的核心,利用89c52内部集成的专用串行通信电路实现数据采集和串/并转换,并可以通过波特率编辑响应发送端波特率的变化。2.8 通信编辑于软件纠错: 由于模拟信号的噪声比较严重,为正确通信,有必要使用一定的编码方式进行检查和纠错

13、,综合考虑cpu资源的占用情况,我们选择简单有效的二维奇偶校验码作为基本校验码,但而为奇偶校验码有明显的局限性:不能见出帧数据中构成矩形的4个错码元,为进一步提高通信可靠性,我们在发送多次同一帧数据。接收端还在连续收到的三帧数据中,如果发现有两帧完全相对则认为该数据发送正确,称为“三中数二”的方式,其效果相当于一个低通滤波器。用这种方法可以有效地提高通行的可靠性,但需要注意的是,如果接收端在某一帧的连续发透过程中始终没有接到其正确帧,则拒收本帧,也即这种纠错方式不能确保所有帧有效传递。综上所诉,我们在发送端和接收端采用双cpu方案,用两片可以确定波特率的89c52单片机分别控制数据采集,通信和

14、采集结果显示,发端和手端之间为单向数据传输系统,擦应frk调制,锁相环解锁。为提高通信的可靠性,通信编码用二维奇偶校验码,并采用连续发送/三中取二接收的通信方式。用有源运放敏带滤波器件为模拟信道滤波器。用户icl8038构成试码发生器。3 系统原理图图1::4 单元电路分析与计算4.1 xr2206fsk调制电路 xr2206是单片函数发生器集成电路,可产生高质量、高稳定、高精度的正弦波、方波、三角波等波形,可使用外部电压获得调频或者调幅波形输出。工作频率可由外部选择,其范围为0.01hz-1mhz。4.2 m74dc4046 fsk 解调电路 mm74dc4046是通用的cmos锁相环集成电

15、路,其内部主要由相位比较器p1、p2压控振荡器(vc0)、线性放大器、源极跟踪器、整形电路等构成,图5-1-2是制六构成的fsk解调电路,在确定外围元件参数时,必须根据器件有关的技术资料本系统fsk两个载波频率分别为fmin=32hz/fmax=48khz,中心频率f0=40khz,由器件手册中的fmin-r2/c1曲线可以定出r2和c1的值。由曲线(fmin/fmin)-r2/r1可确定r2/r1的值,从而得出r1的值。4046前级比较器lm393用于将输入模拟信号转换为0-5v数字电平,提供4046的输入后级用a741构成一个2阶低通滤波器,截止频率约20khz,用于滤除解调输出信号中的高

16、频成分,最后再用1m393对信号进行整形,输出幅度为0-5v的数字信号4.3 带通滤波器的设计 为在通带内获得最大平坦,选择butterworth型带通滤波器,指标为fc1=30khz,fch=50khz,阻带衰减斜率=35db/十倍率,具体计算如下:(1) : 阶数计算,可只通过低通部分,由衰减频率=35db/十倍频可得:w/wc=10处幅度衰减=38db/十倍频,根据butterworth型低通幅度函数可得:图2: 解得n=2,因此滤波器需要3阶。(2): 电路选择电路可以采用单重反馈、单位增益、单运放一次实现的低、高通三阶节,但该三阶节是灵敏度偏高,元件值误差和温度变化会严重影响滤波特性

17、。本设计采用一阶节和二阶节连方式来实现高、低通滤波器,灵敏度降低,特性比较稳定。原理图如图3所示:图3: (3) 阻容元件值得计算根据系统传输函数和butterworth三阶多项式的表达形式,计算得:低通滤波器:c1=20nf,c2=40nf,r1=r2=r3=160欧姆高通滤波器:c1=c2=c3=10nf,r1=520欧姆,r2=270欧姆,r3=1千欧(4) pspice仿真结果,用oread pspice对该通滤波器进行仿真,得到其理论宽带为27-55khz中心频率为30khz,带外衰减超过-50db/十倍频,基本满足题目要求。4.4 测试码发生器 ic8038可变频率发生器,其输出信

18、号频率与8脚输入电压之间是近似的线性关系,由9脚输出占空比为1:1的方波作为测试码,输出频率范围为20hz-16khz,即输出码率可以达到30kbps。由于模拟信道带宽只有20kbps,在fsk调制方式下,该输出码率范围完全符合测试要求。4.5 a/d 转换电路 模数转换电路采用adc0809与发送端单片机89c52的连接。0809是8位a/d转换芯片,只有8位分辨率,最大不可调误差小与11.sb,本电路中由于考虑到传输数据时要增加帧头,为了与数据区分,设帧头为fa,输入电压为5v时候,a/d转换后对应的数据为f1,则需要调整基准源头至5.689v,可用精密基准源1m336提供该电压。从adc

19、0809的数据手册上查到,该芯片的供电电源最大可达6.5v,本电路中用5.75v,用可调精密电压源1m317供电。4.6 单片机和键盘显示器的接口电路 我们采用的双cpu反感在发送端和接受端分别有一个8052最小系统,包括:89c52、fpron27128.ram62256 地址锁存为74ls373、地址译码74ls138等,发送端采用4*4键盘作为输入控制,用于切换采集方式和实现其他扩展功能,两端同时用8个数码管显示地址和数据,以供误码率监视。单片机与键盘/显示器 的接口采用8279键盘/显示器控制芯片,实现对键盘的自动扫描、防抖动,并对显示器进行自动刷新。4.7 伪随机码发生器和加法电路(

20、发挥部分) 由n级移位寄存器构成的伪随机码(m码)发生器,其线性序列的最大长度为m=2n-1,题目要求m码周期为127=27-1位码元,所以应采用7级移位寄存器,又根据m码生成多式f(x)=x7+x3+1,确定反馈方程为f=q3q7。图4 为伪随机码发生器和加法器电路:用两片4级双向移位寄存器74194连成7级移位寄存器。用mo=q1q2q3q4q5q6q7项控制移位寄存器的工作方式,以排除零状态。寄存器的7路输出中任何一路都可以作为模拟噪声源头。在噪声输出端用5千欧电位器调节其峰-峰值在0-1v之间变化,噪声通过一级射随器各路后运放.的同相输入端,实现与信号的相加图4:4.8 数据通道的切换

21、用模拟开关s1和s2分别在发送端和接收端实现数据通道的切换。s1控制噪声信号是否加入通信通道,但控制信号通道过模拟信号道或直接传输至信宿(此功能用于使源系统具有误码率测试功能),s1/s2都由键盘控制。 5 系统软件设计 5.1 软件功能 1.发送端可设定8路循环采集或者制定一路采集,数据采集速率为50ms一次,显示、 刷新为500ms一次。 2.软件过滤错误数据,并支持一定的纠错功能。 3.软件提供两种状态:系统工作状态-系统正常工作、使用软件过滤与纠错;信道测 试状态-不使用软件过滤与纠错, 用于对信道的观察、测试。 4.软件实现误码率测试:系统附加测试信道,使系统本身支持误码率测试与显示

22、。 5.软件实时设定波特率,从.到.挡可调节。 6.通过键盘设定噪声是否加入模拟信道。5.2 通信用帧结构与协议 系统使用两种帧结构:系统结构与误码率测试结构。系统传输帧结构为四字头:帧头、命令/地址、数据、校验误码率测试时帧结构为一字节,只有数据由于此系统为单向传输系统,故不可能有复杂的通信协议。为提高传输的正确性,我们使用了大量重发数据以及fec方式,以提高通信正确率5.3系统软件流程图发送端软件流程如图5所示。图5:接收端工作流程与发送基本相同,只是接受端任务管理器的下属任务包括:接收数据、刷新 显示、软件过滤纠错on/off波特率设置和误码率测试。5.4 带通滤波器部分源程序源程序:p

23、rocess(rst,clk) variable acc:signed (47 downto 0); begin if rst=1 then step for i in 2 downto 1 loop y1(i)0); y2(i)0); y3(i)0); y4(i)0); y5(i)0); y6(i)0); x1(i)0); x2(i)0); x3(i)0); x4(i)0); x5(i)0); x6(i)0); end loop; 复位 when 1= acc:=x1(1)*a1(1)+x*a1(2)+x1(2)*a1(2)+y1(1)*b1(1)+y1(2)*b1(2); y1(2)=y1

24、(1); y1(1)=acc(45 downto 22); x1(2)=x1(1);x1(1)acc:=x2(1)*a2(1)+y1(1)*a2(2)+x2(2)*a2(2)+y2(1)*b2(1)+y2(2)*b2(2); y2(2)=y2(1); y2(1)=acc(45 downto 22); x2(2)=x2(1);x2(1) y3(2)=y3(1); y3(1)=acc(45 downto 22); x3(2)=x3(1); x3(1) y4(2)=y4(1); y4(1)=acc(45 downto 22); x4(2)=x4(1); x4(1) y5(2)=y5(1); y5(1

25、)=acc(45 downto 22); x5(2)=x5(1);x5(1) y6(2)=y6(1); y6(1)=acc(45 downto 22); x6(2)=x6(1); x6(1)=y5(1); end case; if step6 then step=step+1; else step=1; end if; end if; y=y6(1);end process;仿真波形:5.5 伪随机码发生器源程序 源程序:library ieee;use ieee.std_logic_1164.all;entity ps7 is 实体名为“ps7”port(clk:in std_logic;

26、load:in std_logic; q :out std_logic;); 定义实体接口end ps7;architecture behav of ps7 issignal c0,c1,c2,c3,c4,c5,c6,c7:std_logic;beginprocess(clk,load)beginif clkevent and clk=1then 定义时钟上升沿触发if(load=1)thenc7=0;c6=0;c5=0;c4=0;c3=0;c2=0;c1=0;c0=1;q=c7;elsec1=c0;c2=c1;c3=c2;c4=c3;c5=c4;c6=c5;c7=c6;c0=c7 xor c

27、4 xor c3 xor c2; 设置反馈方式q=c7;end if;end if;end process;end behav;仿真波形: 5.6 a/d转换器源程序源程序:library ieee;use iccc.std_logic_1164.alt;use iccc.std_logic_unsigned.alt;entity adcsct isport (d: in std_logic_vector(7 down to 0); clr eoc:in std_logic; oe ,ale,start:out std_logic; addr:out std_logic_vector(2 do

28、wn to 0); da ta:out std_logic_vector(7 down to 0); st:out nteger range 15 down to 0);end entity adcsct;architecture a dcx of adcsct is type state is(st0,st1,st2,st3,st4,st5,st6,st7);signal cst,nst:state:=st0;signal regl:std_logic_vector(7 down to 0);signal assrx:std_logic_vcctor(2 down to 0:=000;sig

29、nal lock:std_logic;begn -addrst=0;ale=0;state=0;oe=0;lock=0; nstst=1;ale=1;state=0;oe=0;lock=0; nstst=2;ale=1;state=1;oe=0;lock=0; nstst=3;ale=0;state=1;oe=0;lock=0; if (eoc=0)then nst=st4; else nstst=4;ale=0;state=0;oe=0;lock=0; if(eoc=1)then nst=st5; else nstst=5;ale=0;state=0;oe=1;lock=0; nstst=6

30、;ale=0;state=0;oe=1;lock=1; nstst=7;ale=0;state=0;oe=1;lock=1; nstnst=st0; end case; end peocess; begn if(clkent and clk=1)then cst=nst; end if; end process; process (lock) begn if lock=1 and lockevent then regl=d; end if; end process; process(clk) begin if clk;event and clk=1 then if cst=st0 then a

31、ddrx=addrx+1; end if; addr=addrx; end process; data=regl; end adcx;6 系统测试6.1 功能测试 系统在发送端可以设定8路顺序循环与指定某一路采集的功能,采集的同时显示当前通道号和相应电压值。调制器输出的信号峰-峰值在0-1v之间可调,码元速率为16kbps。isl8083测试码发生器输出频率随输入电压值可变的方波信号。接收端可以与发送端同步的显示通道号和电压值,通过监测发送和接收端的数码显示。即可判定误码情况。此外,通过正确调整1m331(vco)的输入电压。其输出可以给伪随机码发生电路较精确地提供96khz的时钟。伪随机码发

32、生电路输出周期为127码元的类似噪声的信号。6.2 指标测试 1.带通滤波器特性测试 测试条件:输入正弦波交流信号。 测试仪器:afg310型函数发生器,tds210型数字双踪示波器。 测试结果如表6-1所示,利用测得的数据进行曲线拟合,得到该实际带通滤波器的表6-1:输入信号频率/hz输入信号幅度/v输出信号幅度/v 增益/db80004.40.094-33.002120004.160.24-24.778160004.080.516-17.96200004.080.96-12.568230004.081.44-9.0462600041.9-6.46612900042.3-4.80663200

33、042.62-3.67523500042.84-2.9748380003.962.94-2.587410003.962.9-2.7059440003.922.84-2.7994470003.922.64-3.4336500003.922.44-4.1179530003.922.2-5.0173560003.921.98-5.9324600003.921.7-7.2587700003.841.12-10.702900003.840.52-17.3671200003.80.168-27.0892000003.840.03-42.144中心频率约为38khz,带宽为27-54khz,在测量频率范围内

34、(远远小于10倍频程),两部阻带的衰减已经接近或超过35db,所以实际带通滤波器的频率特性与pspice仿真结果十分接近,满足题目要求。 2.不同信噪比下的误码率测试 测试方法:在8路顺序循环采集模式下,同时监视某一路在发送端和接收端的显示, 监视时间1分钟,记录这1分钟内显示的次数和误码次数, 测试仪器:tds210型数字双踪示波器(用于测定信噪比)。 测试结果如表6-2所示:表6-2: 通道号 信号幅度/v 噪声幅度/v信噪(峰-峰值)比 显那次数 误码次数 0 1 200m 5 10 0 4 0.98 360m 3 10 0 2 1 500m 2 10 1 1 1 1 1当信噪(峰-峰值

35、)比为1时,由于噪声过大引起串行接口误触发,数码管显示不稳定,无法观测,认为此时全部误码。当固定信噪(峰-峰值)比等于3,尽量提高传输速率,检查接受数据的误码情况,测试方法和仪器同上。选通道2为监视对象,信号幅度1.9v,噪声幅度620mv,测试结果如表6-3所示。表6-3(1):码元速率/kbps 16.457 17.28 19.20 20.329 23.04显示次数 10 10 9 10 10误码次数 0 0 0 0 0表6-3(2)接上表:码元速率/kbps 24.685 26.584 28.80 31.418显示次数 10 10 9 10误码次数 0 1 2 4 3.结论由上面的测试结

36、果可以看出,系统很好的完成了题目的各项基本要求和发挥部分前三项的内容,通信通道具有较低的误码率,并且在信噪比固定为3的情况下,实现了较高的码元传输率。7 课程设计心得体会 课程设计已经结束了,通过此次课程设计,使我更加扎实的掌握了有关eda、数电、方面的知识,另外,此次课题有用到我们没有学过的单片机,通过找资料,问同学,老师,也终于能够了解单片机,做出此次设计。在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。过而能改,善莫大焉。在课程设计过程中,我们不断发现错误,不断改正,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论