武汉理工大学FPGA第3章FPGA开发平台_第1页
武汉理工大学FPGA第3章FPGA开发平台_第2页
武汉理工大学FPGA第3章FPGA开发平台_第3页
武汉理工大学FPGA第3章FPGA开发平台_第4页
武汉理工大学FPGA第3章FPGA开发平台_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第3章 FPGA开发平台本章主要介绍 SEED-XDTK XUPV2Pro 开发平台。SEED-XDTK 开发平台是一套既可以满足科研工作,又可用于复杂算法评估和项目预研的 FPGA 综合系统平台。系统平台的主处理器部分可兼容Virtex-II Pro 、原装 XUPV2Pro 大学计划板卡和 SEED-FEM025 板卡,平台除了主处理器模块外还提供了一块 SEED-XDTK_MBOARD板卡作为功能补充。3.1开发平台的结构SEED-XDTK XUPV2Pro系统平台的原理框图如下。图3-1 SEED-XDTK XUPV2Pro系统原理框图整个系统可以看作由两部分组成:XUPV2Pro模块

2、和SEED- XDTK_MBOARD模块。其中XUPV2Pro模块也就是我们平常所说的开发板,主要包括V2Pro芯片、时钟管理模块、ACE模块平台FLASH CF USB下载、DDR、MGT(8个)、高速扩展口、音频输入输出、串口、以太网接口、XSGA、拔码开关、LED、开关电源、系统电源等。SEED-XDTK_MBOARD 板卡通过使用 XUPV2Pro 板卡的扩展接口(J5 与 J6)实现 SEED-XDTK XUPV2Pro 系统平台的功能扩充。SEED-XDTK_MBOARD 板卡通过CPLD 来实现各个功能的切换,主要包括CPLD功能模块、视频输入、RTC时钟模块、串行并行转换、蜂鸣

3、器、LCD、CAN模块、LED点阵、LED、数码管显示、USB2.0接口、液晶显示器等。3.2 主处理系统XUPV2Pro 板卡概述3.2.1 XUPV2Pro 原理框图Virtex-II Pro FPGAAC97的 Audio音频接口板上XSGA输出)4个用户LED显示4个开关5个输入按钮10/100 以太PHY设备RS-232及两个PS-2接口3个串行ATA接口MGT端口5V扩展头高速扩展端口2G DDR SDRAM模块内部电源:3.3V2.5V1.5V外部电源100MHz系统时钟75MHz STAT时钟2个用户时钟2个闪存配置平台)8个紧凑型闪存配置CPU调试端口USB2高速配置4.55

4、.5V图3-2 XUPV2Pro 原理框图Virtex-II Pro 系列的 FPGA(XC2VP30FFG896C)是Xilinx公司在2002年推出的高端FPGA产品,它采用13nm、1.5V、三重氧化层工艺技术,并继续沿用Virtex-2架构,在提供很高性能的同时,与以前推出的器件相比,Virtex- II Pro系列极大的降低了功耗。在单个Virtex-II Pro系列的FPGA中,集成了IBM 400MHZ PowerPc处理器和622Mbit/s6.25Gbit/s全双工串行收发器,使FPGA与片外芯片的数据传输能力有所提高,能够基本满足高速数据传输的要求。3.2.2 XUPV2P

5、ro 板卡特性简介 1. XUPV2Pro 板卡处理器系列芯片特性 Virtex-II Pro系列芯片具有如下系统特性:(1)用于改善信号传输质量的数字阻抗匹配技术(DCI);(2)多达2个400MHZ、600多DMIPS、嵌入式IBM PowePc 405处理器硬核;(3)带有MicroBlaze核的软处理解决方案;(4)用于数字信号处理的硬件乘法器,多达444个18×18嵌入式乘法器;(5)内部集成DSP工具,如The Math Works ,MATLAB/Simulink、Xilinx System Generator for DSP以及Candence SPW;(6) 嵌入和

6、分布式存储器;(7) 更加完善的系统时钟方案;(8) 采用先进的三重DES加密技术,对比特流数据进行加密处理,全面保障设计的安全性;(9) 部分/整体FPGA重配置,为产品提供现场可升级能力。表3-1 Virtex-II Pro系列FPGA性能参数2. XUPV2Pro 板卡主芯片XC2VP30与FFG896C的特性(1) 片内集成两片 32 位的 PowerPc 405 处理器内核 (2) 8 个 I/O bank(3) 8 个 DCM (时钟管理器)(4) 30816 个 LogicCells (逻辑单元)(5) 136 个 18×18 Bit 多功能模块 (6) 最大可用 IO

7、 管脚为 644 (7) 可配置逻辑模块(CLB) (8) 3696 个 Slice (9) 428 个 Max DistraRaM (10)块 RAM(Block SelectRAM) (11)136 个 18kb 的 Block RAM (12)最大的 Block RAM 容量为 2448KB3. XUPV2Pro 板卡特性 图3-3 XUPV2Pro 板卡实物图 图3-3所示为 XUPV2Pro 板卡实物图,其性能特性如下:(1) 嵌入PowerPC 405处理器内核的Virtex-II Pro FPGA(2) 可达2GB的Double Data Rate(DDR)SDRAM;(3) S

8、ystem ACETM控制器及CompactFlashTM接口;(4) 一路 USB 配置端口;(5) 板上有一个ISP(In-System Programmable)配置PROM,此FLASH可进行高速SelectMAP FPGA配置;(6) 支持“Golden”和“User”FPGA配置bitstream文件;(7) 有音频放大器和扬声器/耳机输出的AC97标准的Audio音频接口;(8) RS-232 DB9串行接口;(9) 两个PS-2接口,可以接键盘与鼠标;(10) 一路 10M/100Mbass-TX 标准以太网设备;(11) 一路 XSGA 输出;(12) 一路 MGT(Mult

9、i-Gigabit Transceivers)扩展接口;(13) 3个SATA端口,两个主端口和一个目标端口;(14) 与Virtex-II Pro I/O管脚相连接的4个LED显示接口;(15) 与Virtex-II Pro I/O管脚相连接的4 路拨码开关输入;(16) 与Virtex-II Pro I/O管脚相连接的5 路按键输入;(17) 与80个Virtex-II Pro I/O管脚相连接的6个扩展接口;(18) 与40个Virtex-II Pro I/O管脚相连接的1个高速扩展接口;(19) 100MHz系统时钟,75MHz SATA时钟;(20) 板上电源;(21) 上电复位电路

10、、手动复位,方便安全;(22) PowerPC 405复位电路4. XUPV2Pro主板卡技术指标 (1) 主处理器: XC2VP30,FFG896C(2) DDR SDRAM: 片外:16M×32-位(3) PLATFORM FLASH: 片外:32MBIT(4) CF 卡: 片外:8MBIT(5) 拨码开关输入: 片外:4 路 开关状态为“ON”时,FPGA 逻辑为“0”(6) LED 灯输出: 片外:4 路 FPGA 逻辑为“1”时,点亮相应 LED 灯(7) 按键输入: 片外:5 路 按键选中时,FPGA 逻辑为“0”(8) 音频输入: 2 通道,Microphone 输入、

11、Line In 输入(输入范围2Vrsm)支持 8KHz96KHz16/20/24/32-位采样(9) 音频输出: 2 通道,Line Out 输出、AMP 输出(输出范围1Vrsm10K/50pF 负载)支持 8KHz96KHz16/20/24/32-位采样(10) 异步串口: 3 通道,RS232 模式 传输率:RS232:1Mbaud(11) XSGA 接口:VGA 接口,RGB 格式 ,视频 DAC 芯片的像素时钟为 180MHz; 视频输出像素为 1280×1024,刷新频率 75Hz,最大分辨率为1600×1200, 刷新频率 70Hz(12) MGT 扩展接口

12、 :4 路1 路为 SMA 接口,用户提供时钟输入3 路为 SATA 接口,两个主端口一个目标端口SATA 接口时钟 75MHZ(13) 以太网接口:10M/100Mbase-TX 标准,标准的带红、绿、黄 3 个 LED 指示灯的 RJ45 连接器,绿灯指示连接状态,黄灯指示数据传输或传输速度(14) 扩展接口: 6 路 , 与 80 个 Virtex-II Pro I/O 管脚相连接的,带有电压保护(15) 高速扩展接口: 1 路, 与 40 个 Virtex-II Pro I/O 管脚相连接的(16) 工作温度: 0703.3 XUPV2Pro 开发板主要模块介绍开发板主要模块分为六部分

13、:时钟、电源管理模块;下载配置模块;扩展接口模块;MGT模块;串口通信模块;音视频传输模块。图3-4 开发板主要模块3.3.1 时钟、电源管理模块1. 时钟管理模块时钟管理与晶体振荡器密切相关。所谓晶体振荡器,简称晶振,其作用是产生原始的稳定的时钟频率,这个频率经过频率发生器的分频或倍频就变成了各种不同的频率。该板卡上有如下六种时钟管理单元:(1)一个100 MHz 系统时钟 (Y2)这是一个能提供100MHz频率的晶振,是系统时钟。一般来说,如果设计中用到其他频率的时钟(如PPC405需200MHz的时钟,PLB总线需50MHz的时钟),则都是由该时钟源经DCM分频或倍频后产生的。(2)一个

14、 75MHz 时钟 (U10) 该时钟是专门为高速通道收发器的SATA口提供时钟源的,为75MHz。(3)穿孔双向封装用户备用时钟 (Y3)晶振Y3只是个备用时钟源,处于开发板的背面,当其他的晶体振荡器都无法正常工作时才启用该时钟源。(4)专为MGTs提供的外部时钟 (J23-J24)该时钟是专为Top MGT提供时钟频率,而且是由外部的时钟源提供,值得注意的是这里的时钟源还必须是差分的时钟源。(5)一个32 MHz 时钟 (Y4)该时钟专为控制芯片ACE提供32MHz时钟频率。(6)专为高速扩展接口模块提供的时钟提供数字高速扩展接口模块的时钟,如若本板卡通过此高速扩展接口外接了板卡资源,那么

15、该时钟源就能为接口模块提供时钟源。2. 电源管理模块系统平台有如下两种提供电源的方式:(1)外接220V三相稳压源,输出12V电压对系统供电;(2)对开发板的J26端口提供5V电源供电。两种供电方式都有相应的复位开关SW11,图3-5(a)和图3-5(b)分别表示电压转换芯片结构图和电源转换模块实物图。 图3-5(a)电压转换芯片结构图 图3-5(b)电源转换模块实物图无论使用那种供电方式,图中的电源管理模块都会对输入电压进行电压转换,转换芯片分别为TPS54615、TPS54616和TPS54613。三块芯片功能几乎相同,只是转换后得到的电压幅值不同,分别为2.5、3.3和1.5V。下面以T

16、PS54613芯片为例,对电压转换芯片作简要说明。TPS54613芯片为28管脚的Power PAD封装型式,性能参数和引脚排列可查阅相关资料,电压转换芯片一般都有外接定时电容、输出电阻以及积分电容或滤波电容。当电路中有5V电压输入时,在存在电压差值的情况下定时电容被线性地充放电,从而产生了电流输出。在一个时钟周期内,输出电路把这一电流准确地送到负载电阻中。由于负载电阻的另一端接地,于是经滤波后的电流被滤波电容积分而得到输出电压,从而完成了电压转换。3.3.2 下载配置模块-ACE模块图3-6 ACE模块实物图图3-6 所示为ACE模块的实物图。ACE模块主要是提供CF卡与主芯片V2P30的接

17、口,并管理FPGA配置数据。这里所使用的控制器芯片为XCCACETQG1441,该控制器可提供如下几种端口:(1)Compact Flash口;(2)JTAG配置口;(3)MPU微处理器口;(4)JTAG测试口。其配置方式有两种:一种是将CF卡中的数据直接通过Compact Flash口传输给FPGA;第二种方式是将配置的数据从外部的JTAG扩展头通过JTAG配置口传输给FPGA。当然,这样配置的前提是存在硬件JTAG线,且已经与包含有配置数据的PC机相连。另外JTAG test口还提供了与母板上CPLD的USB2接口,这也使得开发板上的主芯片能控制CPLD的选择。上面提到的CF卡是一种存储设

18、备,作用相当于U盘,它采用闪存(flash)技术,是一种稳定的存储解决方案,不需要电池来维持其中存储的数据。对所保存的数据来说,CF卡比传统的磁盘驱动器的安全性和保护性都更高。CF卡的主要优点是它具有比其它存储方式更长的寿命以及较低的单位容量成本,同时也可以在较小的尺寸上提供较大的容量。3.3.3 Platform Flash模块该模块是可编程的片上PROM配置模块,用于为板卡上的V2P30芯片提供配置数据。特定的FPGA芯片由相应的PROM芯片配置,这里为主芯片提供配置数据的是XCF32P,该配置芯片的结构框图如图3-7所示。图3-7 XCF32P的结构框图FPGA是由存放在片内RAM中的程

19、序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。另外,FPGA有多种配置模式,如一片FPGA加一片EPROM的并行主模式;可以支持一片PROM编程加多片FPGA的主从模式;可以采用串行PROM编程加FPGA的串行模式;可以将FPGA作为微处理器的外设模式,该模式由微处理器对其编程。加电时,FPGA芯片将EPROM中的数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失。3.3.4 扩展接口模块 图3-8(a) 扩展口实物图 图3-8(b)带状电缆扩展口主要由FPGA芯片内多余的IO

20、口组成,主要是提供外接板卡的功能,外接板卡连接方式的选择与扩展口的管脚数目以及型号有关。系统平台具有三类扩展口:一类是4个60管脚的接口;第二类是两个40管脚的低速FPGA模块接口;第三类是一个高速的FPGA扩展模块接口。图3-8(a)中显示了前两类扩展口,高速扩展口也在板卡上,位于该模块的左边。各扩展口的管脚分配的特定信号和电压值可以通过查阅相关资料获得。(1)4个60-pin的扩展口是专为用户提供的,不过如果用户需要使用这样特殊的扩展口,那么就需要有特殊的连接器,即如图3-8(b)所示的ribbon-cable(带状电缆);(2)两个40-pin的低速扩展口已经固化,系统出厂前就已经将该扩

21、展口用于连接开发板与母板了,并且通过开发板上的FPGA芯片对母板CPLD进行控制;(3)唯一的高速扩展模块接口是专门用来连接Xilinx公司的其他高速板卡的。控制芯片主要有74LVC2G241DCU和SN74LVC2G157DCUR,前者可以将高速板卡上的3.3V时钟信号转化为FPGA芯片内的2.5V信号,后者的作用如图3-9所示。图3-9 高速扩展芯片外部结构图由于JTAG的配置数据的走向为SYSTEM_TDI->Platform FLASH->System ACE controller->FPGA->Digilent Expansion(如果使用的话)->Hi

22、gh Speed Expansion (如果使用的话) ->System ACE controller->SYSTEM_TDO,如果该高速扩展模块外接了FPGA板卡,那么HS_JTAG_EXP_SEL必须置高,该芯片才有输出EXP_TDO,这时就可以通过JTAG线对该高速扩展口外接的板卡进行正常配置了。3.3.5 MGT模块主芯片V2P30中含有8个MGT(G比特收发器),分TOP和BACK MGT,但是本系统中只提供了4个TOP MGT的外部接口,如图3-10所示。MGT(MultiGigabitTransceiver)是Xilinx公司的VII pro和V4系列FPGA芯片中的

23、千兆级收发器(V5中的千兆级收发器为GTP)。它具有灵活的可编程特性,使得多吉比特串行收发通道可以轻松地被整合到FPGA设计中去。它以全双工的方式工作,支持的波特率从几百Mbps到几G bps(V4中的速率范围为622M6.25G;V5中GTP支持的速率范围为100Mbps3.75Gbps,通过通道绑定可以实现更高的速率),因而能够满足高速串行数据的传输要求。 图3-10(a) MGT差分IO口 图3-10(b) MGT SATA接口3.3.6 串口通信模块本系统中主要包含三种串口通信接口:PS2接口、RS232接口和10/100M以太网接口。串口通信即串口按位(bit)发送和接收字节,尽管比

24、并行通信慢,但是串口可以在使用一根线发送数据的同时用另一根线接收数据,简单并且能够实现远距离通信。串口通信使用3根线完成:(1)地线,(2)发送线,(3)接收线。由于串口通信是异步的,所以端口能够在一根线上发送数据的同时在另一根线上接收数据。串口通信最重要的参数是波特率、数据位、停止位和奇偶校验,对于两个进行通信的端口,这些参数必须匹配。3.3.7 PS2接口模块PS/2接口(J12)是目前最常见的鼠标接口,最初是IBM公司的专利,俗称“小口”。这是一种鼠标和键盘的专用接口,是一种6针的圆型接口。但鼠标只使用其中的4针传输数据和供电,其余2个为空脚。PS/2接口的传输速率比COM接口稍快一些,

25、是目前应用最为广泛的鼠标接口之一,但仍然不能使高档鼠标完全发挥其性能,而且不支持热插拔。PS/2鼠标和键盘履行一种双向同步串行协议,而且,不管通讯的方向怎样,鼠标/键盘总是产生时钟信号。如果主机要发送数据,它必须告诉设备开始产生时钟信号。图3-11 PS/2串口电路键盘接口电路一般在微机主板上,通过电缆与键盘连接。串行的接收键盘送来的扫描码的功能主要有:(1)串行接收键盘送来的接通扫描码和断开扫描码可转换成并行数据并暂存;(2)收到一个完整的扫描码后,立即向主机发中断请求;(3)主机中断响应后读取扫描码,并转换成相应的ASCII码存入键盘缓冲区。对于控制键,设置相应的状态;(4)接收主机发来的

26、命令,传送给键盘并等候键盘的响应,自检时用以判断键盘的正确性。3.3.8 RS232接口模块RS232(J11)是PC机上的通讯接口之一,是一种异步传输标准接口。通常 RS-232 接口以9个接脚 (DB9) 或是25个接脚 (DB25) 的形态出现,一般个人计算机上会有两组 RS-232 接口,分别称为 COM1 和 COM2,它适合于数据传输速率在020000b/s范围内的通信。RS-232的标准接口有25条线,即4条数据线、11条控制线、3条定时线、7条备用和未定义线,但常用的只有9条。RS232电缆连接图如图3-12所示。图3-12 RS232电缆连接图(此图要重画)RS232C采用的

27、是EIA电平,其规定如下:逻辑1(MARK) =-3-15V,逻辑0(SPACE)=+3+15V。RS232的EIA是用正负电压来表示逻辑状态的,与TTL以高低电平表示逻辑状态的规定不同。因此,为了能够同计算机接口或终端的TTL器件连接,必须在EIA电平与TTL电平之间进行电平变换,常用芯片来实现电平变换。RS232通信接口电路如图3-13所示。图3-13 RS232通信接口电路图RS232的主要作用是实现开发板与PC机的数据通信,本系统中主要用于PC机的超级终端显示。FPGA芯片内部集成的UART可实现内部并行数据转换,经其并串转换后至串口RS232,与PC机通信。3.3.9 以太网接口模块

28、系统平台提供了以太网控制芯片LX972A和RJ45网口,主要实现以太网控制器MAC层的功能,并在此平台上将数据通过以太网控制芯片LX972A和RJ45网口发送到以太网中,实现基于TCP/IP协议的PC机与Web Server的通信,并搭建起10BASE-T和100BASE-TX的以太网连接,板卡上以太网接口为J10。LXT972A是Intel公司的网络通讯接口芯片,它符合IEEE标准,直接支持10Mb/s和100Mb/s双绞线应用,也支持100Mb/s光纤接口,该芯片引脚排列图如图3-14所示。图3-14 LXT792A的引脚排列图其中ADDR0-ADDR4是设备地址端口;RBIAS是偏置端口

29、;PAUSE是暂停端口;PWRDWN是电源端口;TEST0、TEST1是测试端,接地端口;REFCLK/XI、REFCLK/XO是晶振输入、输出端;TDI、TDO、TMS、TCK、TRST是JTAG测试端;LED/CFG1、LED/CFG2、LED/CFG3是LED驱动或配置输入端。由于芯片LXT972A中已经提供了标准的MII接口,所以嵌入式处理器Power PC405可以直接通过该MII接口初始化LXT972A,而不需要使用LXT972A中的JTAG口。3.3.10 音视频传输模块1. 音频模块板卡上有两路音频输入和两路音频输出(J14、J15)。音频输入:2通道,Microphone输入

30、、Line In输入(输入范围:2Vrsm),支持8KHz96KHz16/20/24/32-位采样。音频输出:2通道,Line Out输出、AMP输出(输出范围:1Vrsm10K/50pF负载),支持8KHz96KHz16/20/24/32-位采样。语音输出模块对D/A转换器输出的信号,经音频功率放大器放大或由可编程芯片的对应管脚输出不同的频率信号,驱动小型蜂鸣器产生不同声调的声音信号。本模块的核心器件是PCM编译码集成电路TP3057,它是CMOS工艺制造的专用大规模集成电路,片内带有输出输入话路滤波器,其引脚分布可查阅相关资料,TP3057的原理框图如图3-15所示。图3-15 TP305

31、7内部框图TP3057由发送和接收两部分组成,其功能简述如下:(1) 发送部分包括可调增益放大器、抗混淆滤波器、低通滤波器、高通滤波器、压缩A/D转换器。抗混淆滤波器对采样频率提供30dB以上的衰减,从而避免了任何片外滤波器的加入。高通滤波器的输出信号送给阶梯波产生器(采样频率为8KHz),阶梯波产生器、逐次逼近寄存器(S·A·R)、比较器以及符号比特提取单元等4个部分共同组成一个压缩式A/D转换器,S·A·R输出的并行码流经并/串转换后成为PCM信号。参考信号源提供各种精确的基准电压,允许编码输入电压最大幅度为5VP-P。发送的帧同步信号FSX为采样信

32、号,每个采样脉冲都使编码器进行两项工作:在8比特位同步信号BCLKX的作用下,将采样值进行8位编码并存入逐次逼近寄存器;将前一采样值的编码结果通过输出端DX输出。(2) 接收部分包括D/A转换器和低通滤波器。低通滤波器符合AT&T D3/D4标准和CCITT建议,D/A转换器由串并变换器、D/A寄存器组成、D/A阶梯波形成等部分构成。在接收数据帧的同步脉冲FSR上升沿及之后的8个位同步脉冲BCLKR的作用下,8比特PCM数据进入接收数据寄存器(即D/A寄存器),D/A阶梯波单元对8比特PCM数据进行D/A变换并保持变换后的信号形成阶梯波信号。此信号被送到特定时钟频率的开关电容低通滤波器

33、,低通滤波器对阶梯波进行平滑滤波并对孔径失真进行补偿。另外,在通信工程中,主要使用动态范围和频率特性来说明PCM编译码器的性能。2. 视频模块VGA显示接口(J13)可完成FPGA/CPLD芯片对CRT液晶显示屏的控制显示。视频的数模转换功能的实现主要是通过DAC芯片RMS3818(U29)完成的,它可以操作高达180MHZ的像素时钟。在70HZ的刷新下,允许1600×1200的最大分辨率。输入时钟控制信号是水平同步,垂直同步及像素时钟。该模块的电路图如图3-16所示。图3-16 DAC芯片工作电路图3.4 SEED-XDTK_MBOARD 板卡介绍SEED-XDTK_MBOARD

34、板卡通过使用 XUPV2Pro 板卡的扩展接口(J5 与 J6)实现 SEED-XDTK XUPV2Pro 系统平台的功能扩充,SEED-XDTK_MBOARD 板卡通过一个 CPLD 来实现各个功能的切换。根据硬件设计,SEED_XDTK_MBOARD 板卡上的资源实现分为三类: (1) FPGA 直接控制;(2) FPGA 通过SEED_XDTK_MBOARD 板卡上 CPLD 控制;(3) FPGA 直接控制和通过 SEED_XDTK_MBOARD 板卡上 CPLD 共同控制。开发板上没有CPLD芯片的装置,开发板能够直接通过FPGA控制的资源包括:(1) 串行高速 AD、DA ,为8

35、位,1M(2) 并行高速 AD、DA ,为8 位,1M(3) LCD液晶 ,(122×32) (4) 蜂鸣器 (5) RTC时钟芯片 (6) CAN总线控制芯片 在系统中,FPGA 通过CPLD共同控制的资源包括:(1) 8个 LED (2) LED点阵(16×16)(3) 8个数码管 (4) 17 键键盘 FPGA 和 CPLD共同控制的资源包括: (1) USB 通讯芯片 (2) TVP5150 视频芯片另外,在MBOARD 板卡上 CPLD 资源分配。FPGA 通过 2 个控制引脚(MULTI_IO16、MULTI_IO17)控制 XDTK 板卡上的CPLD,实现功能

36、模块的切换。FPGA 提供给 CPLD 的控制引脚共 35 根,其中 MULTI_IO16、MULTI_IO17 作为功能控制引脚, 其余 33根引脚 (D00-D15、MULTI_IO00-MULTI_IO15、MULTI_IO18)做为数据输入、输出使用。 在系统中,CPLD的功能类似于拨码开关,可根据 FPGA的功能控制引脚的状态进行功能模块的切换。表3-2 CPLD引脚的具体分配FPGA控制引脚MULTI_IO16 MULTI_IO17FPGA输入引脚分配说明00MULTI_IO00-15设为高阻01D00-D07分配给数码管数据线引脚D08-D15分配给数码管控制线引脚MULTI_I

37、O00-MULTI_IO05分配给键盘输入MULTI_IO06-MULTI_IO09分配给键盘输出MULTI_IO18分配给USB_PK引脚MULTI_IO13分配给USB_FLAGA引脚MULTI_IO14分配给USB_FLAGB引脚MULTI_IO15分配给USB_FLAGC引脚MULTI_IO10分配给USB_A0引脚MULTI_IO11分配给USB_A1引脚MULTI_IO12分配给USB_A2引脚10D00-D07分配给LED控制引脚VIDIO_CLKIN直接与VIDIO_CLKOUT相连MULTI_IO00-15设为高阻11D00-D15分配给点阵列控制引脚MULTI_IO00-M

38、ULTI_IO15分配给点阵行控制引脚3.5 SEED-XDTK_MBOARD板卡主要模块介绍SEED-XDTK_MBOARD板主要包含的模块有:显示模块;控制模块;键盘输入模块;AD/DA转换模块,如图3-17所示。图3-17 SEED-XDTK_MBOARD板模块图3.5.1显示模块显示模块主要包括8个LED灯、16×16LED点阵、128×16字符型LCD液晶显示和7段数码管模块。1. LED灯LED (发光二极管) 是一种半导体器件,当有电流流经它时会发光。其内部是PN结,当电流通过PN结时,电子就会被推向P区,在P区里电子跟空穴复合,然后就会以光子的形式发出能量,

39、这就是LED发光的原理。理想的发光二极管控制器应是一个电流源,而FPGA的输出引脚是电压源,所以,在用FPGA控制LED时,简单的解决办法是将一电阻串联到LED上,通常电阻的大小取100到1K欧姆,本系统中使用的电阻阻值为130欧姆。LED允许电流从一个方向导通,另一个方向截至,这一点与二极管相同。另外LED跟所有二极管一样,也都有一个导通电压,大约在2.0V左右。当电压低于2.0V 时,不发光(没有电流流过LED);当电压高于2.0V 时,LED导通,发光强度随着流经LED电流的大小而变化。系统中LED点亮的电压是3.3V。LED有两个极限参数:最大正向电流(最大发光强度)。通常在10mA数

40、量级;最大反向电压(尽管LED被反向偏置时没有电流流过它,但是也不要加太大的反向电压,可被击穿)。通常反向电压限制在5V,比通常的二极管低得多。2. LED点阵LED点阵显示方式有静态显示和动态显示两种。静态显示原理简单、控制方便,但硬件接线复杂。在实际应用中一般采用动态显示方式,动态显示采用扫描的方式工作,由峰值较大的窄脉冲驱动,从上到下逐次不断地对显示屏的各行进行选通,同时又向各列送出表示图形或文字信息的脉冲信号,反复循环以上操作,就可显示各种图形或文字信息。一般情况下,与LED点阵相关的主要有两种信号,一是16位的点阵控制信号DOT_CON,二是16位的DATA信号(此点阵为16 X 1

41、6)。控制信号DOT_CON负责选通显示行,数据信号DOT_DATA对应每行中的16个小LED灯,决定是否点亮。如图3-18所示。图3-18 LED点阵3. LCD液显LCD 的构造是在两片平行的玻璃当中放置液态的晶体,两片玻璃中间有许多垂直和水平的细小电线,按照通电与否来控制杆状水晶分子改变方向,将光线折射出来产生画面。128 ×16点阵的字符型LCD是专门用于显示字母、数字、符号和文字等信息的点阵型液晶显示模块,可完成点阵字符型LCD模块与CPLD/FPGA芯片以及与单片机的接口组成汉字显示,注意这里的点阵是低电平有效。4. 数码管模块LED数码管用7段发光二极管来显示数字,加上小数点共有八个发光二极管。N段LED数码管以静态方式显示时,需要用8×N条信号线。与前三种显示模块不同的是,该7段数码管点亮为高电平有效。数码管显示的原理是依次点亮各个LED管,循环进行显示,利用人的视觉暂留现象及发光

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论