




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、电子与通信工程系电子与通信工程系 实验一步骤 P124实验5-1电子与通信工程系电子与通信工程系2CLICKCLICK电子与通信工程系电子与通信工程系此处指定设计顶此处指定设计顶层实体名称,默层实体名称,默认与工程同名认与工程同名电子与通信工程系电子与通信工程系如果不使用第三方工具,此页直接点next跳过,保持多选框为不选择状态电子与通信工程系电子与通信工程系5CLICK电子与通信工程系电子与通信工程系CLICK电子与通信工程系电子与通信工程系电子与通信工程系电子与通信工程系Hierachy tab可以浏览元器件的层次Files tab可以浏览工程的文件电子与通信工程系电子与通信工程系良好的缩
2、进良好的缩进 代码请保持良好的缩进,以便于阅读、理解 关键字请大写电子与通信工程系电子与通信工程系为同一个工程添加多个为同一个工程添加多个VHDL文件文件 在完成第一部分实验的基础上,在当前工程下直接新建一个VHDL file 完成该文件后保存为mux31电子与通信工程系电子与通信工程系在Project Navigator 的 Files 选项卡中找到后输入的mux31a.Vhd,在其上单击右键,并选择弹出菜单栏里面的 Set as Top-level Entity电子与通信工程系电子与通信工程系 更换了顶层之后,会在project Navigator中的Hierarchy选项卡中看到相应的变
3、化。之后请重复综合-仿真等一系列过程以保证设计正确电子与通信工程系电子与通信工程系 单击Assignments-Pins电子与通信工程系电子与通信工程系在下拉菜单中为信号分配管脚。请对照P407页对照表中最后一列EP1C6/1C12列进行分配 CLOCK0 179/28管脚分配完毕需重新综合电子与通信工程系电子与通信工程系将工程下载到将工程下载到FPGA开发板开发板 单击Tools-Programmer电子与通信工程系电子与通信工程系此处需勾选单击电子与通信工程系电子与通信工程系单击参考本页进行设计,务必保持一样,单击OK电子与通信工程系电子与通信工程系单击电子与通信工程系电子与通信工程系模式
4、JTAG将开实验箱模式调至模式5,单击Start进行编程电子与通信工程系电子与通信工程系20第3章 VHDL程序设计进阶3.1 子程序子程序 与子程序相关的语句 顺序语句 Return RETURN; - 结束过程,不返回任何值,只能用于过结束过程,不返回任何值,只能用于过程程 RETURN 表达式;表达式; - 返回一个值,只能用于函数返回一个值,只能用于函数 子程序调用语句 P285,在process内调用子程序 并发语句 并行过程调用语句-在process外部调用电子与通信工程系电子与通信工程系21 子程序是主程序调用它以后,能将处理结果返回给主程序的模块,子程序可以反复调用。调用时,首
5、先要初始化,执行结束后,子程序就终止,再调用,再初始化。子程序内部的值不能保持,子程序返回,才能被再次调用。在VHDL语言中,子程序(subprogram)分两类: n过程(PROCEDURE)n函数(FUNCTION)3.1 子程序(subprogram)在子程序中只能使用顺序语句!(课本P229)电子与通信工程系电子与通信工程系3.1.1 函数函数函数的语句表达格式如下:FUNCTION 函数名(参数表) RETURN 数据类型-函数首FUNCTION 函数名(参数表)RETURN 数据类型IS - 函数体 说明部分BEGIN顺序语句;END FUNCTION 函数名;可以在包、结构体、进
6、程内部定义函数可以在包、结构体、进程内部定义函数 函数的参数均为输入参数。函数的参数均为输入参数。 函数调用返回一个指定数据类型的值。函数调用返回一个指定数据类型的值。电子与通信工程系电子与通信工程系23如果在程序包中使用过程和函数,则必须定义过程首和函数首函数首的定义:过程首的定义:PROCEDURE 过程名(参数表)FUNCTION 函数名(参数表) RETURN 数据类型电子与通信工程系电子与通信工程系【例9-5】 P231LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;PACKAGE packexp IS -定义程序包FUNCTION max( a,b
7、 : IN STD_LOGIC_VECTOR) -定义函数首RETURN STD_LOGIC_VECTOR ;FUNCTION func1 ( a,b,c : REAL )RETURN REAL ;FUNCTION “*” ( a ,b : INTEGER ) RETURN INTEGER ;FUNCTION as2 (SIGNAL in1 ,in2 : REAL )RETURN REAL ;END ;存储位置存储位置-在结构体内部定义函数在结构体内部定义函数电子与通信工程系电子与通信工程系PACKAGE BODY packexp ISFUNCTION max( a,b : IN STD_LO
8、GIC_VECTOR) -定义函数体RETURN STD_LOGIC_VECTOR ISBEGINIF a b THEN RETURN a;ELSE RETURN b;END IF;END FUNCTION max; -结束FUNCTION语句END; -结束PACKAGE BODY语句电子与通信工程系电子与通信工程系LIBRARY IEEE; - 函数应用实例USE IEEE.STD_LOGIC_1164.ALL;USE WORK.packexp.ALL ;ENTITY axamp ISPORT(dat1,dat2 : IN STD_LOGIC_VECTOR(3 DOWNTO 0); dat
9、3,dat4 : IN STD_LOGIC_VECTOR(3 DOWNTO 0); out1,out2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) );END;ARCHITECTURE bhv OF axamp ISBEGINout1 = max(dat1,dat2); -并行函数调用语句PROCESS(dat3,dat4)BEGINout2 = max(dat3,dat4); -顺序函数调用语句END PROCESS;END;电子与通信工程系电子与通信工程系电子与通信工程系电子与通信工程系存储位置存储位置-在结构体内部定义函数在结构体内部定义函数【例9-6】P232
10、ARCHITECTURE demo OF func ISFUNCTION sam(x ,y ,z : STD_LOGIC) RETURN STD_LOGIC ISBEGINRETURN ( x AND y ) OR z ;END FUNCTION sam ;BEGINPROCESS ( a )BEGINm(0) = sam( a(0), a(1), a(2) ) ;m(1) = sam( a(2), a(0), a(1) ) ;m(2) b THEN RETURN a; (接下页)电子与通信工程系电子与通信工程系ELSE RETURN b; END IF;END FUNCTION max; -
11、结束FUNCTION语句FUNCTION max( a,b :IN INTEGER) -定义函数体RETURN INTEGER ISBEGINIF a b THEN RETURN a;ELSE RETURN b; END IF;END FUNCTION max; -结束FUNCTION语句FUNCTION max( a,b :IN BIT_VECTOR) -定义函数体RETURN BIT_VECTOR ISBEGINIF a b THEN RETURN a;ELSE RETURN b; END IF;END FUNCTION max; -结束FUNCTION语句END; -结束PACKAGE
12、BODY语句电子与通信工程系电子与通信工程系- 以下是调用重载函数max的程序:LIBRARY IEEE ;USE IEEE.STD_LOGIC_1164.ALL ;USE WORK.packexp.ALL;ENTITY axamp ISPORT (a1,b1 : IN STD_LOGIC_VECTOR(3 DOWNTO 0););END;ARCHITECTURE bhv OF axamp ISBEGINc1 = max(a1,b1); c2 = max(a2,b2); c3 R then return L;else return R;end if;end;function + (L : ST
13、D_LOGIC_VECTOR ; R : INTEGER)return STD_LOGIC_VECTOR isVariable result : STD_LOGIC_VECTOR (Lrange) ;Beginresult := UNSIGNED(L) + R ;return std_logic_vector(result) ;end ;.end STD_LOGIC_UNSIGNED ;电子与通信工程系电子与通信工程系3.1.3转换函数IEEE库类型转换函数表电子与通信工程系电子与通信工程系【例9-9】LIBRARY IEEE;USE IEEE. std_logic_1164.ALL;ENTI
14、TY exg ISPORT (a,b : in bit_vector(3 downto 0); q : out std_logic_vector(3 downto 0);end ;ARCHITECHTURE rtl OF exg ISBEGINq value: 0101 ;WHEN 0101 = value: 0000 ;WHEN OTHERS = value: 1111 ;END CASE ;END PROCEDURE prg1 ;电子与通信工程系电子与通信工程系【例9-13】PROCEDURE comp ( a, r : IN REAL;m : IN INTEGER ; v1, v2: O
15、UT REAL) ISVARIABLE cnt : INTEGER ;BEGINv1 := 1.6 * a ; - 赋初始值v2 := 1.0 ; - 赋初始值Q1 : FOR cnt IN 1 TO m LOOPv2 := v2 * v1 ;EXIT Q1 WHEN v2 v1; - 当v2 v1,跳出循环LOOPEND LOOP Q1ASSERT (v2 v1 )REPORT OUT OF RANGE - 输出错误报告SEVERITY ERROR ;END PROCEDURE comp ;电子与通信工程系电子与通信工程系【例9-14】LIBRARY IEEE;USE IEEE.STD_LO
16、GIC_1164.ALL;PACKAGE axamp IS 过程首定义PROCEDURE nand4a (SIGNAL a,b,c,d : IN STD_LOGIC ;SIGNAL y : OUT STD_LOGIC );END axamp;PACKAGE BODY axamp IS -过程体定义PROCEDURE nand4a (SIGNAL a,b,c,d : IN STD_LOGIC ;SIGNAL y : OUT STD_LOGIC ) ISBEGINy= NOT(a AND b AND c AND d);RETURN;END nand4a;END axamp;电子与通信工程系电子与通
17、信工程系LIBRARY IEEE; -主程序USE IEEE.STD_LOGIC_1164.ALL;USE WORK.axamp.ALL;ENTITY EX ISPORT( e,f,g,h : IN STD_LOGIC ; x : OUT STD_LOGIC );END;ARCHITECTURE bhv OF EX ISBEGINnand4a(e,f,g,h,x) ; 并行调用过程END;电子与通信工程系电子与通信工程系3.1.6重载过程重载过程 与函数类似例9-15PROCEDURE calcu ( v1, v2 : IN REAL ;SIGNAL out1 : INOUT INTEGER)
18、 ;PROCEDURE calcu ( v1, v2 : IN INTEGER ;SIGNAL out1 : INOUT REAL) ;.calcu (20.15, 1.42, signl) ; - 调用第一个重载过程calcucalcu (23, 320,sign2 ) ; - 调用第二个重载过程calcu .电子与通信工程系电子与通信工程系电子与通信工程系电子与通信工程系443.2、结构化结构化VHDLVHDL程序设计程序设计3.2.1 元件及元件例化元件及元件例化 我们常将已设计好的设计实体称为一个元件或一个模块 。VHDL中基本的设计层次是元件,它可以作为其他模块或者高层模块引用的底层模块。(课本P81、P83)电子与通信工程系电子与通信工程系45COMPONENT语句(元件声明语句)指定了
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- T/CECS 10189-2022混凝土减水剂分子量测试凝胶渗透色谱法
- T/CECS 10086-2020混凝土及砂浆用石墨尾矿砂
- T/CECS 10011-2019埋地排水用聚乙烯共混聚氯乙烯双壁波纹管材
- T/CCOA 21-2020萝卜籽油
- T/CCBD 18-2022品牌评价中餐菜系
- T/CAQI 27-2017中小学教室空气质量规范
- T/CAQI 213-2021饮用水处理装置除病毒功能技术规范
- 苏宁java开发工程师面试题及答案
- 亚信java面试题及答案2025年
- 绘画光影考试题及答案
- 人教版(2024)小学数学一年级下册《欢乐购物街》教学设计及反思
- 2025年生猪屠宰兽医卫生检疫人员考试题(附答案)
- 电子商务教师资格证提升策略试题及答案
- 杭州市萧山区部分校教科版六年级下册期末考试科学试卷(解析版)
- 土地测量服务投标方案(技术方案)
- 2025年医院会计笔试试题及答案
- 服务流程操作说明手册
- 城市规划设计合作框架协议
- 公司增资扩股方案设计报告
- 短视频运营实习计划
- 考前最后一课
评论
0/150
提交评论