第8章 半导体存储器_第1页
第8章 半导体存储器_第2页
第8章 半导体存储器_第3页
第8章 半导体存储器_第4页
第8章 半导体存储器_第5页
已阅读5页,还剩46页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第八章第八章半导体存储器半导体存储器 8.1 半导体存储器概述半导体存储器概述8.2 只读存储器只读存储器8.3 随机存取存储器随机存取存储器 8.4 存储器的扩展存储器的扩展8.5 存储器存储器VHDL设计举例设计举例 一、本章内容一、本章内容1. 了解只读存储器(了解只读存储器(ROM)电路结构及特点。)电路结构及特点。2. 了解随机存取存储器(了解随机存取存储器(RAM)的电路结构及)的电路结构及特点。特点。3. 掌握存储器存储容量的扩展方法。掌握存储器存储容量的扩展方法。4. 掌握用存储器实现组合逻辑函数的方法。掌握用存储器实现组合逻辑函数的方法。5. 了解了解ROM和和RAM集成芯片

2、的功能。集成芯片的功能。二、本章教学目的与要求二、本章教学目的与要求 三、本章知识结构三、本章知识结构8.1 半导体存储器概述半导体存储器概述8.1.1 半导体存储器的特点半导体存储器的特点 半导体存储器是用半导体器件来存储大量二半导体存储器是用半导体器件来存储大量二值信息的集成电路。半导体存储器具有集成度高、值信息的集成电路。半导体存储器具有集成度高、容量大、体积小、功耗低、存取速度快、可靠性容量大、体积小、功耗低、存取速度快、可靠性高、使用寿命长等特点。在数字系统中应用很广高、使用寿命长等特点。在数字系统中应用很广泛。泛。 在计算机和其它一些数字系统中,需要对大在计算机和其它一些数字系统中

3、,需要对大量数据进行存储,因此,半导体存储器是这些数量数据进行存储,因此,半导体存储器是这些数字系统中不可缺少的组成部分。字系统中不可缺少的组成部分。8.1.2 半导体存储器的分类半导体存储器的分类1. 从制造工艺上分为双极型和从制造工艺上分为双极型和MOS型。型。2. 从存从存/取功能上可以分为只读存储器(取功能上可以分为只读存储器(ROM)和随)和随机存储器(机存储器(RAM)。)。 ROM的分类及特点的分类及特点(1)掩膜型)掩膜型ROM:厂家写入,用户只读。:厂家写入,用户只读。(2)可编程)可编程PROM:用户可编程写入一次。:用户可编程写入一次。(3)紫外光擦除可编程)紫外光擦除可

4、编程EPROM:可多次擦写,擦除须用紫外光。:可多次擦写,擦除须用紫外光。(4)电可擦除的可编程)电可擦除的可编程EPROM(EPROM):可用电信号多次擦写。:可用电信号多次擦写。 RAM的分类及特点的分类及特点(1)双极型)双极型RAM:存取速度高,集成度低,功耗大,成本高。:存取速度高,集成度低,功耗大,成本高。(3)MOS型静态型静态RAM(SRAM):集成度、功耗介于双极型):集成度、功耗介于双极型RAM与动态与动态RAM之间,不需要刷新。之间,不需要刷新。(2)MOS型动态型动态RAM(DRAM):必须定时刷新,集成度高,):必须定时刷新,集成度高,功耗功耗 低,价格便宜。低,价格

5、便宜。8.1.3 半导体存储器的主要参数半导体存储器的主要参数1. 存储容量存储容量存储器容量存储单元字数存储器容量存储单元字数数据位数数据位数 数据位数一般等于芯片数据线的根数;而存储单元字数数据位数一般等于芯片数据线的根数;而存储单元字数(p)与存储器芯片的地址线条数()与存储器芯片的地址线条数(k)有如下关系:)有如下关系: p=2k。2. 存取速度存取速度存取速度用二个指标来衡量:存取时间和存储周期。存取速度用二个指标来衡量:存取时间和存储周期。 存取时间是指从存取时间是指从CPU给出有效的存储器地址来启动一次给出有效的存储器地址来启动一次存储器读写操作,到该操作完成所经历的时间。存储

6、器读写操作,到该操作完成所经历的时间。 存储周期则是指连续两次访问存储器之间所需的最小时存储周期则是指连续两次访问存储器之间所需的最小时间间隔。存储周期等于存取时间加上存储器的恢复时间。间间隔。存储周期等于存取时间加上存储器的恢复时间。8.2 只读存储器只读存储器 只读存储器(只读存储器(ROM)是一种存储固定信息)是一种存储固定信息的存储器。其特点是在正常工作状态下只的存储器。其特点是在正常工作状态下只能读取数据,不能即时修改或重新写入数能读取数据,不能即时修改或重新写入数据。只读存储器电路结构简单且存放的数据。只读存储器电路结构简单且存放的数据在断电后不会丢失,特别适用于存储永据在断电后不

7、会丢失,特别适用于存储永久性的、不变的程序码数据,如常数表、久性的、不变的程序码数据,如常数表、函数、表格和字符等,计算机中的自检程函数、表格和字符等,计算机中的自检程序就是固化在序就是固化在ROM中的。只读存储器有掩中的。只读存储器有掩膜膜ROM、可编程、可编程ROM、可擦除的可编程、可擦除的可编程ROM等几种不同类型。等几种不同类型。8.2.1 掩膜只读存储器掩膜只读存储器 掩膜只读存储器,又称固定掩膜只读存储器,又称固定ROM,这种,这种ROM在在制造时,生产厂家利用掩膜技术把信息写入存储制造时,生产厂家利用掩膜技术把信息写入存储器中,使用时用户无法更改。在制作掩膜器中,使用时用户无法更

8、改。在制作掩膜ROM的的过程中,主要是根据用户的要求制作掩膜,掩膜过程中,主要是根据用户的要求制作掩膜,掩膜ROM适合大批量定型产品的生产。适合大批量定型产品的生产。 掩膜只读存储器可分为二极管掩膜只读存储器可分为二极管ROM、双极型三极、双极型三极管管ROM和和MOS管管ROM三种类型。下面主要以二三种类型。下面主要以二极管掩膜极管掩膜ROM为例介绍为例介绍ROM的结构和工作原理。的结构和工作原理。 ROM原理结构框图原理结构框图 主要由主要由地址译码器地址译码器、存储存储矩阵矩阵和和输出电路输出电路等等3部分部分组成。组成。地址译码器将输入的地址代码译成相应的单元地址控制信号,地址译码器将

9、输入的地址代码译成相应的单元地址控制信号,利用这个信号从存储矩阵中选出指定的存储单元,把此单元的利用这个信号从存储矩阵中选出指定的存储单元,把此单元的数据送给输出电路。对于有数据送给输出电路。对于有n个地址输入端的地址译码器来说,个地址输入端的地址译码器来说,可以译出可以译出2n个不同的控制信号,并将每个控制信号称为个不同的控制信号,并将每个控制信号称为“字字”,用用W0、W1 表示,称为字线。将表示,称为字线。将A0、A1An-1称为地址线。称为地址线。21nW存储矩阵由大量能固定存放存储矩阵由大量能固定存放1位二进制信息的存储单元组成,位二进制信息的存储单元组成,每个存储单元都对应一个固定

10、的地址代码。每个存储单元由若每个存储单元都对应一个固定的地址代码。每个存储单元由若干基本存储电路组成(一般为干基本存储电路组成(一般为2的整数倍)。基本存储电路可的整数倍)。基本存储电路可以由二极管、三极管或以由二极管、三极管或MOS管构成。每个存储电路只能存储管构成。每个存储电路只能存储1位二进制代码位二进制代码0或或1。存储矩阵的输出端称为。存储矩阵的输出端称为“位位”,用,用Y0、Y1Ym-1表示,称为位线。表示,称为位线。输出电路一般用三态门作缓冲级,以提高带负载能力。输出电路一般用三态门作缓冲级,以提高带负载能力。EN是输出电路的输出使能端,用于实现输出的三态控是输出电路的输出使能端

11、,用于实现输出的三态控制,便于和系统总线连接。制,便于和系统总线连接。44二极管掩膜二极管掩膜ROM电路图电路图二极管与门二极管与门二极管或门二极管或门地址代码地址代码字线字线位线位线数据输数据输出端口出端口存储单元存储单元相当于相当于0存储单元存储单元相当于相当于1001 0 0 0010100101010 1 0 0011000110 A1 A0 D3 D2 D1 D0 0 0 0 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 1 0 0 1 144二极管掩膜二极管掩膜ROM电路图电路图 存储矩阵的简化阵列图存储矩阵的简化阵列图 如果用如果用N沟道增强型沟道增强型MOS管

12、(管(NMOS)代替图中)代替图中的二极管,便可以构成的二极管,便可以构成NMOS管掩膜管掩膜ROM,如图,如图8.4所示,图中字线与位线交叉点上接有所示,图中字线与位线交叉点上接有NMOS管管时相当于存储数据时相当于存储数据1,没有接,没有接NMOS管时相当于存管时相当于存储数据储数据0。8.2.2 可编程只读存储器可编程只读存储器总体结构与掩模总体结构与掩模ROM一样,但存储单元不同。一样,但存储单元不同。PROM在出厂时,存储的内容为在出厂时,存储的内容为全全 0(或全或全 1),用户根据需要,可,用户根据需要,可将某些单元改写为将某些单元改写为 1(或或 0)。 这种这种ROM采用熔丝

13、或采用熔丝或PN结击穿的方结击穿的方法编程,由于熔丝烧断或法编程,由于熔丝烧断或PN结击结击穿后不能再恢复,穿后不能再恢复, 因此因此PROM只只能改写一次。能改写一次。熔丝型熔丝型PROM存储单元和读存储单元和读/写放大器写放大器 8.2.3 可擦除的可编程只读存储器可擦除的可编程只读存储器总体结构与掩模总体结构与掩模ROM一样,但存储单元不同一样,但存储单元不同1. 用紫外线擦除的用紫外线擦除的EPROM(UVEPROM)SIMOS(Stacked-gate Injuction MOS)MOS叠栅注入管cfG :G :控制栅浮置栅工作原理:工作原理:若若Gf上注入电荷,上注入电荷,Gc在正

14、常高电平时不导通在正常高电平时不导通若若Gf上未注入电荷,上未注入电荷,Gc在正常高电平时导通在正常高电平时导通 EPROM的一个存储单元的一个存储单元 c2f,D-S2025V ,G25V,50msSiOG ,-20303写入:雪崩注入间加高压() 发生雪崩击穿同时在上加宽的正脉冲,吸引高速电子穿过到达形成注入电荷。擦除:通过照射产生电子 空穴对,提供泄放通道紫外线照射分钟(阳光下一周,荧光灯下 年)2. 电可擦除的电可擦除的EPROM(E2PROM)总体结构与掩模总体结构与掩模ROM一样,但存储单元不同一样,但存储单元不同UVEPROMFlotox(MOS)为克服擦除慢,操作不便的缺点采用

15、浮栅隧道氧化层管-8f27GDSiO210 m10 V/cm ,与 之间有小的隧道区,厚度当场强达到一定大小() 电子会穿越隧道“隧道效应”fC1C1GG3VTG3VT工作原理:充电荷后,正常读出电压()下,截止未充电荷时,正常读出电压()下,导通iCjf,G20V,10ms0GWY充电:加的正脉冲, 接电子隧道区CfG0,GijW Y放电:接 ,加正脉冲,上电荷经隧道区放电3. 快闪存储器(快闪存储器(Flash Memory)为提高集成度,省去T2(选通管)改用叠栅MOS管(类似SIMOS管)f2fGSiO1015nmGS-与衬底间更薄()与 区有极小的重叠区 (隧道区)fSSc*GD-S

16、6VV0G12V 10 su工作原理:向充电利用雪崩注入方式,加正压(),接加,的正脉冲fcSSfGG0,V12V,100Gns放电,利用隧道效应加的正脉冲上电荷经隧道区放电4. ROM集成芯片简介集成芯片简介 常用的常用的EPROM集成芯片有集成芯片有2716(2K8位)、位)、2732(4K8位)、位)、2764(8K8位)等。常用的位)等。常用的E2PROM芯片有芯片有2816(2K8位)、位)、2864(8K8位)、位)、24C08(1K8位)、位)、16(2K8位)等,位)等,其中其中24C08和和24C16是串行是串行I2C总线的总线的E2PROM。本节以本节以271627512系

17、列的系列的EPROM集成芯片为例,集成芯片为例,介绍集成介绍集成ROM的特点和使用方法。的特点和使用方法。 271627512系列的系列的EPROM集成芯片,除存储容集成芯片,除存储容量和编程电压等参数不同外,其它参数基本相同。量和编程电压等参数不同外,其它参数基本相同。271627512系列芯片的主要技术特性如表系列芯片的主要技术特性如表8-2所示,所示,其中读出时间视型号而定,一般在其中读出时间视型号而定,一般在100300ns,表中列出数值的为典型值表中列出数值的为典型值。表表8-2 271627512系列的芯片主要技术特性系列的芯片主要技术特性 型号型号技术特性技术特性27162732

18、2764271282725627512容量(容量(KB)248163264引脚数引脚数242428282828读出时间读出时间(ns)350450200200200200170最大工作电最大工作电流(流(mA)10010075100100125最大维持电最大维持电流(流(mA)253535404040 2716具有具有24个引脚,主要有地址线个引脚,主要有地址线 A0A10、数据、数据线线Q0Q7、控制线等,其引脚排列如图、控制线等,其引脚排列如图8.12所示。所示。 图图8.12 2716引脚排列图引脚排列图 2716的工作方式与控制端的关系的工作方式与控制端的关系工作工作方式方式控制输入控

19、制输入功能功能VPPVCC读读00+5V+5V数据数据输出输出维持维持1+5V+5V高阻高阻态态编程编程1+25V+5V数据数据写入写入编程编程校验校验00+25V+5V数据数据输出输出编程编程禁止禁止01+25V+5V高阻高阻态态/CEPGMOE 在微型数字系统中,在微型数字系统中,CPU对存储器进行读对存储器进行读/写操作,写操作,首先由首先由CPU的地址总线给出地址信号,选择要进的地址总线给出地址信号,选择要进行读行读/写操作的存储单元,然后通过控制总线发出写操作的存储单元,然后通过控制总线发出相应的控制信号,使存储器工作于相应的工作方相应的控制信号,使存储器工作于相应的工作方式,最后才

20、能在数据总线上进行数据交换。所以,式,最后才能在数据总线上进行数据交换。所以,存储器与存储器与CPU之间的连接,主要是存储器的地址之间的连接,主要是存储器的地址输入端与输入端与CPU的地址总线相连接,存储器的控制的地址总线相连接,存储器的控制信号输入端与信号输入端与CPU的控制总线相连接,存储器的的控制总线相连接,存储器的数据输出端与数据输出端与CPU的数据总线相连接。在进行实的数据总线相连接。在进行实际操作时,际操作时,CPU的时序需要和存储器的存取速度的时序需要和存储器的存取速度相匹配。相匹配。8.2.4 用用ROM实现组合逻辑函数实现组合逻辑函数 ROM除了用作存储器以外,还可以用来实现

21、各除了用作存储器以外,还可以用来实现各种组合逻辑函数。因为种组合逻辑函数。因为ROM的地址译码器是一个的地址译码器是一个与阵列,存储矩阵是可编程或阵列,所以可以用与阵列,存储矩阵是可编程或阵列,所以可以用来实现与或形式的组合逻辑函数。把来实现与或形式的组合逻辑函数。把ROM中的中的n位地址端作为逻辑函数的输入变量,则位地址端作为逻辑函数的输入变量,则ROM的地的地址译码器的输出端将产生输入变量的全部最小项,址译码器的输出端将产生输入变量的全部最小项,即实现了逻辑变量的与运算;即实现了逻辑变量的与运算;ROM中的存储矩阵中的存储矩阵是把有关的最小项相或后输出,实现了最小项的是把有关的最小项相或后

22、输出,实现了最小项的或运算,即形成了与或逻辑函数式。或运算,即形成了与或逻辑函数式。ROM有几个有几个数据输出端,就可以得到几个与或逻辑函数式。数据输出端,就可以得到几个与或逻辑函数式。【例【例8-1】 用用ROM实现下列逻辑函数。实现下列逻辑函数。0FA BAB 1FB CA C 2FA BCC解:将逻辑函数解:将逻辑函数F0、F1、F2变化为最小项之和的形式,分别为变化为最小项之和的形式,分别为0(0,1,6,7)FA BABA B CA B CABCABCm 1(0,1,3,4)FB CA CA B CAB CA B CA BCm 2(1,2,3,5,7)FA BCCA BCA B CA

23、 BCAB CABCm 选择有选择有3位地址输入端、位地址输入端、3位数据输出端的位数据输出端的83位位ROM,将变量,将变量A、B、C分别接至地址输入端分别接至地址输入端A2、A1、A0,按照逻辑函数的要求存入相应的数据,按照逻辑函数的要求存入相应的数据,即可在数据输出端即可在数据输出端D2、D1、D0得到逻辑函数得到逻辑函数F0、F1、F2。0(0,1,6,7)Fm 1(0,1,3,4)Fm 2(1,2,3,5,7)Fm 【例【例8-2】 用用PROM设计一个代码转换电路,可以设计一个代码转换电路,可以将将4位二进制代码转换为对应的位二进制代码转换为对应的4位格雷码。位格雷码。 解:将解:

24、将4位二进制代码作为输入变量,用位二进制代码作为输入变量,用X3X2X1X0表示,将表示,将4位格雷码作为输出变量,用位格雷码作为输出变量,用F3F2F1F0表表示。列出示。列出4位二进制代码转换为格雷码的真值表,位二进制代码转换为格雷码的真值表,如表如表8-4所示。所示。 表表8-4 例例8-2的真值表的真值表0 0 0 00 0 0 10 0 1 10 0 1 00 1 1 00 1 1 10 1 0 10 1 0 01 1 0 01 1 0 11 1 1 11 1 1 01 0 1 01 0 1 11 0 0 11 0 0 0W0W1W2W3W4W5W6W7W8W9W10W11W12W1

25、3W14W150 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1F3 F2 F1 F0WiX3 X2 X1 X04位格雷码位格雷码数据字数据字4位二进制代码位二进制代码 由于输入变量是由于输入变量是4位,输出变量也是位,输出变量也是4位,所以选位,所以选用输入地址和输出数据都是用输入地址和输出数据都是4位的位的164位位PROM实现该代码转换电路。令实现该代码转换电路。令A3A2A1A0= X3X2X1X0,D3D2D1D

26、0= F3F2F1F0。按照表。按照表8-4中中F3、F2、F1、F0的逻辑值,对可编程的存储矩阵进行编程,使的逻辑值,对可编程的存储矩阵进行编程,使F3、F2、F1、F0的值为的值为0的存储单元中的熔丝熔断。的存储单元中的熔丝熔断。F3=W8+W9+W10+W11+W12+W13+W14+W15 F2=W4+W5+W6+W7+W8+W9+W10+W11 F1= W2+W3+W4+W5+W10+W11+W12+W13 F0= W1+W2+W5+W6+W9+W10+W13+W14 8.3 随机存取存储器随机存取存储器 随机存取存储器(随机存取存储器(RAM)也称随机读)也称随机读/写存储器,写存

27、储器,可以在任意时刻,对任意选中的存储单元进行信可以在任意时刻,对任意选中的存储单元进行信息的存入(写)或取出(读)的信息操作,因此息的存入(写)或取出(读)的信息操作,因此称为随机存取存储器。它的最大优点是读、写方称为随机存取存储器。它的最大优点是读、写方便,使用灵活,缺点是在停电以后,所存储的数便,使用灵活,缺点是在停电以后,所存储的数据将丢失。据将丢失。RAM可以分为静态随机存储器可以分为静态随机存储器(SRAM)和动态随机存储器()和动态随机存储器(DRAM)两大类。)两大类。8.3.1 静态随机存储器静态随机存储器电路结构电路结构 静态随机存储器(静态随机存储器(SRAM)的结构框图

28、如图)的结构框图如图所示,所示,SRAM一般由存储矩阵、地址译码器和一般由存储矩阵、地址译码器和读读/写控制电路等组成。写控制电路等组成。 SRAM的存储矩阵也是由一些存储单元排列而成,的存储矩阵也是由一些存储单元排列而成,每个存储单元可以存储每个存储单元可以存储1位二值信息。这些存储单位二值信息。这些存储单元一般都按照阵列形式排列,是一个元一般都按照阵列形式排列,是一个n行行m列的列的矩阵,例如,一个容量为矩阵,例如,一个容量为2564(256个字,每个个字,每个字字4位)的位)的SRAM,共有,共有1024个存储单元,这些单个存储单元,这些单元可排成如图所示的元可排成如图所示的32行行32

29、列的矩阵。列的矩阵。 每片每片RAM由若干个字组成,每个字由若干位组成,由若干个字组成,每个字由若干位组成,通常信息的读写是以字为单位进行的。不同的字通常信息的读写是以字为单位进行的。不同的字具有不同的地址,在进行读写操作时,可以按照具有不同的地址,在进行读写操作时,可以按照地址选择欲访问的单元。地址的选择是通过地址地址选择欲访问的单元。地址的选择是通过地址译码器来实现的。在存储器中,通常将输入地址译码器来实现的。在存储器中,通常将输入地址译码器分为行地址译码器和列地址译码器两部分,译码器分为行地址译码器和列地址译码器两部分,给定地址码后,行地址译码器输出线(即字线)给定地址码后,行地址译码器

30、输出线(即字线)中有一条有效,选中一行的存储单元,同时,列中有一条有效,选中一行的存储单元,同时,列地址译码器输出线(即位线)中也有一条有效,地址译码器输出线(即位线)中也有一条有效,选中一列或选中一列或n列的存储单元,字线和位线的交叉列的存储单元,字线和位线的交叉点处的单元即被选中。点处的单元即被选中。 读读/写控制电路用于对电路的工作状态进行控制。写控制电路用于对电路的工作状态进行控制。当读当读/写控制信号写控制信号 =1时,执行读操作,即将时,执行读操作,即将由地址输入端指定的存储单元里的数据送到输入由地址输入端指定的存储单元里的数据送到输入/输出端上;当输出端上;当 =0时,执行写操作

31、,输入时,执行写操作,输入/输输出端上的数据被写入由地址输入端指定的存储器出端上的数据被写入由地址输入端指定的存储器单元中。单元中。 在数字系统中,在数字系统中,RAM一般由多片组成,而系统每一般由多片组成,而系统每次读次读/写时,只能选中其中的一片(或几片)进行写时,只能选中其中的一片(或几片)进行读读/写操作,因此每片写操作,因此每片RAM均设有片选信号输入均设有片选信号输入端端 。当。当 =0时,时,RAM为正常工作状态;当为正常工作状态;当 =1时,所有的输入时,所有的输入/输出端都为高阻态,输出端都为高阻态,RAM不能进行读不能进行读/写操作。写操作。/R W/R WCSCSCS2.

32、 静态存储单元静态存储单元 SRAM的静态存储单元电路是在基本的静态存储单元电路是在基本RS触发器的触发器的基础上附加控制电路而构成的,所以,它是利用基础上附加控制电路而构成的,所以,它是利用基本基本RS触发器的自锁功能存储数据的。触发器的自锁功能存储数据的。SRAM的的静态存储单元可以利用静态存储单元可以利用N沟道增强型沟道增强型MOS管管(NMOS)、)、CMOS型和双极型三极管构成。型和双极型三极管构成。CMOS型存储单元因功耗低、集成度高多被采用,型存储单元因功耗低、集成度高多被采用,下面以下面以6管管CMOS电路构成的电路构成的SRAM存储单元为例存储单元为例进行介绍。进行介绍。六管

33、六管CMOS静态存储器单元静态存储器单元8.3.2 动态随机存储器动态随机存储器 动态存储器(动态存储器(DRAM)基本结构与静态存储器相)基本结构与静态存储器相同,不同的是存储单元。同,不同的是存储单元。DRAM的存储单元由的存储单元由MOS管的栅极电容管的栅极电容C和门控管组成,利用和门控管组成,利用MOS管管栅极电容栅极电容C的暂存作用来存储信息,电容的暂存作用来存储信息,电容C上电压上电压为高电平,表示存储为高电平,表示存储1;电容上电压为低电平时,;电容上电压为低电平时,表示存储表示存储0。虽然。虽然MOS管的栅极电阻很高,但栅管的栅极电阻很高,但栅极电容的容量很小,并且电容器上的电

34、荷不可避极电容的容量很小,并且电容器上的电荷不可避免地因漏电等因素而损失,使电容上信息保存时免地因漏电等因素而损失,使电容上信息保存时间有限,为保持原存储信息不变,就需要不间断间有限,为保持原存储信息不变,就需要不间断地对栅极电容定时地进行补充电荷(这种操作也地对栅极电容定时地进行补充电荷(这种操作也称刷新或再生)。因此,称刷新或再生)。因此,DRAM工作时必须要有工作时必须要有刷新控制电路,操作比较复杂。由于要不间断地刷新控制电路,操作比较复杂。由于要不间断地进行刷新,故称这种存储器为动态存储器。进行刷新,故称这种存储器为动态存储器。 图图8.18是单管动态存储单元的电路结构图,它由是单管动

35、态存储单元的电路结构图,它由一个一个N沟道增强型沟道增强型MOS管管T和一个电容和一个电容CS组成。组成。电容电容C0是数据线上的分布电容。是数据线上的分布电容。 图图8.18 单管动态存储单元结构图单管动态存储单元结构图8.4 存储器的扩展存储器的扩展 一片一片ROM或或RAM的存储容量是一定的,在数字的存储容量是一定的,在数字系统或计算机中,单片存储器芯片往往不能满足系统或计算机中,单片存储器芯片往往不能满足存储容量的需求,我们可以将若干片存储器芯片存储容量的需求,我们可以将若干片存储器芯片组合起来,扩展成大容量的存储器,从而满足使组合起来,扩展成大容量的存储器,从而满足使用要求。存储器的

36、扩展有位扩展和字扩展两种,用要求。存储器的扩展有位扩展和字扩展两种,也可以将位、字同时扩展以满足对容量的需求。也可以将位、字同时扩展以满足对容量的需求。8.4.1 位扩展方式位扩展方式 如果每一片如果每一片ROM或或RAM中的字数够用,而每个中的字数够用,而每个字的位数不足时,就要对位数进行扩展,字的位数不足时,就要对位数进行扩展,ROM或或RAM的位扩展比较简单,可以利用芯片的并联方的位扩展比较简单,可以利用芯片的并联方式实现。式实现。【例【例8-3】 用用8片片1K1位的位的RAM扩展成扩展成1K8位的位的RAM。8.4.2 字扩展方式字扩展方式 当单片存储器的数据位数满足要求而它的字数达

37、当单片存储器的数据位数满足要求而它的字数达不到要求时,就要进行字扩展。对于不到要求时,就要进行字扩展。对于RAM来说,来说,字扩展就是把几片相同字扩展就是把几片相同RAM的数据输入的数据输入/输出端输出端并接在一起作为共用输入并接在一起作为共用输入/输出端,读输出端,读/写控制端写控制端也并接在一起共用,把地址线加以扩展,去控制也并接在一起共用,把地址线加以扩展,去控制各片的片选。对于各片的片选。对于ROM来说,就是把每一片数据来说,就是把每一片数据输出端和输出使能端分别并联在一起使用,将地输出端和输出使能端分别并联在一起使用,将地址输入端进行扩展,去控制各片的片选信号。若址输入端进行扩展,去

38、控制各片的片选信号。若字数扩展字数扩展N倍,则应增加倍,则应增加n(2n=N)位高位地址线,)位高位地址线,高位地址线可以通过外加译码器的方式来控制每高位地址线可以通过外加译码器的方式来控制每一片的片选输入端。增加的高位地址线与译码器一片的片选输入端。增加的高位地址线与译码器的输入端相连,译码器的低电平输出分别接到各的输入端相连,译码器的低电平输出分别接到各片存储器的片选输入端。片存储器的片选输入端。 【例【例8-4】 用用2564位的位的RAM扩展成扩展成1K4位的位的RAM。解:解:2564位的位的RAM的字数为的字数为256,而扩展后的位,而扩展后的位数为数为1K,由于,由于1K=102

39、4=2564,所以需要,所以需要4片片2564位的位的RAM。2564位的位的RAM的地址输入的地址输入端有端有8位,而位,而1K4位的位的RAM的地址输入端有的地址输入端有10位,为此,需要增加位,为此,需要增加2位高地址输入端,并将高地位高地址输入端,并将高地址输入端经过址输入端经过2线线-4线译码器,用译出的线译码器,用译出的4个低电个低电平信号分别控制平信号分别控制4片片2564位位RAM的片选输入端。的片选输入端。 当地址输入端当地址输入端A9A8A7A0为为00000000000011111111时,时, 2线线-4线译码器的输出线译码器的输出 =0,使第(,使第(1)片)片256

40、4位位RAM的的 =0,可以对第(,可以对第(1)片的)片的256个字进行读个字进行读/写操作。写操作。1CSCS当地址输入端当地址输入端A9A8A7A0为为01000000000111111111时,时, 2线线-4线译码器的输出线译码器的输出 =0,使第(,使第(2)片)片2564位位RAM的的 =0,可以对第(,可以对第(2)片的)片的256个字进行读个字进行读/写操作。写操作。2CSCS8.5 存储器存储器VHDL设计举例设计举例 存储器是由许多存储单元构成的,存储单元按照存储器是由许多存储单元构成的,存储单元按照一定的顺序排列,每个存储单元由若干位二进制一定的顺序排列,每个存储单元由

41、若干位二进制代码组成,以表示存储单元中保存的数据,这种代码组成,以表示存储单元中保存的数据,这种结构和数组非常相似,所以可以用数组来表示存结构和数组非常相似,所以可以用数组来表示存储器,这样就可以用储器,这样就可以用VHDL语言实现语言实现ROM或或RAM的功能。另外,也可以利用的功能。另外,也可以利用Quartus9.0中中的的MegaWizard Plug-In Manager定制定制ROM或或RAM宏功能块。宏功能块。1. ROM的的VHDL设计举例设计举例【例【例8-5】 用用VHDL语言设计一个语言设计一个168位的位的ROM。 Library ieee; Use ieee.std_

42、logic_1164.all; entity ROM16x8 is port(dataout: out std_logic_vector(7 downto 0); addr: in std_logic_vector(3 downto 0); ce: in std_logic); end ROM16x8; architecture behav of ROM16x8 is signal incode: std_logic_vector(4 downto 0); begin incode= addr & ce; Process (incode) beginincode dataout dat

43、aout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout dataout=XXXXXXXX; end case; end Process;end behav;2. RAM的的VHDL设计举例设计举例【例【例8-6】 用用VHDL语言设计一个语言设计一个168位的位的RAM。解:将每个解:将每个8位数组作为一个字(位数组作为一个字(word),),168位位的的RAM总共存储总共存储16个字,所以可以将个字,所以可以将168位的位的ROM作为由作为由16个字构成的数组,以数组的下标表个字构成的数组,以数组的下标表示地址。通过读示地址。通过读/写控制模式实现对特定地址上字写控制模式实现对特定地址上字进行读出或写入操作。其进行读出或写入操作。其VHDL程序如下:程序如下: Library ieee; Use ieee.std_logic_1164.all; Use ieee.std_logic_unsigne

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论