数字电路实验指导书_第1页
数字电路实验指导书_第2页
数字电路实验指导书_第3页
数字电路实验指导书_第4页
数字电路实验指导书_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第一章 单元实验实验一 逻辑门电路的研究一、 实验目的:1 分析“门”的逻辑功能。2 分析“门”的控制功能。3 熟悉门电路的逻辑交换及其功能的测试方法。二、 实验使用仪器和器件:1数字逻辑电路学习机一台。2万用表一块。三、 实验内容和步骤:1 TTL集成门逻辑功能的测试:“与非门”逻辑功能的测试:在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。表1输入逻辑状态输出逻辑A B C1 1 10 1 10 0 10 0 0状态电位(V)用“与或非”门实现Z=AB+C的逻辑功能:在学习机上插入74LS54芯片,做Z=AB+

2、C逻辑功能的测试,完成表2的功能测试并记录。表2输入逻辑状态输出逻辑A B C1 1 10 1 10 0 10 0 0状态电位(V)注意:测试前应将与或非门不用的与门组做适当处理。2“门”控制功能的测试:“与非”门控制功能的测试:按图1接线,设A为信号输入端,输入单脉冲,B为控制端接控制逻辑电平“0”或“1”。输出端Z接发光二极管(LED)进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。图1 “与非门”控制功能测试电路表3ABZABZ0101000001011111用“与非门”组成下列电路,并测试它们的功能“或”门:Z=A+B“与”门:Z=AB“或非”门:Z=A+B“与或

3、”门:Z=AB+CD要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。四、 预习要求:要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。五、 实验报告要求:总结“与非”、“与”、“或”、“或非”门的控制功能。六、 思考题:1 为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理?2 与或非门不用的与门组如何处理?实验二 组合逻辑电路设计一、 实验目的:1学会用集成TTL门构成组合逻辑电路。2通过实验手段实现所

4、设计的电路。二、 实验内容:1. 设计全加器:用双四选一数据选择器74LS153与门电路结合设计加法器设A为被加数,B为加数,Cn-1为上位进位输入,F为A+B的结果,Cn为本位进位输出。1)功能真值表如下:ABCn-1FCn00000100100101011001001101010101101111112)给出表达式3)画出逻辑电路图4)根据实验结果,完成加法器波形图:(始终频率由大到小应为被加数,加数,进位输入。 F Cn2.设计一个能完成如下功能的发电机组供电控制电路:某工厂有四台用电设备:A、B、C、D其中A设备用电5KWB设备用电10KWC设备用电12KWD设备用电18KW使用过程中

5、不允许A、B两台用电设备同时使用。现有供电机组三台:x容量10KWy容量15KWz容量20KW为了节约能源,要求按用电状况合理启动供电机组,设计出供电机组控制逻辑(1表示供电和用电,0表示不供电不用电)。用与非与非式实现。三、实验前的准备:1复习组合电路的设计方法。2根据任务要求设计逻辑电路,拟定实验步骤,提出器材名单。3复习组合电路竞争冒险现象产生的原因及消除方法。四、实验报告要求:1写出设计过程,画出电路逻辑图,记录实验验证结果。2总结实验中所出现的问题,分析原因及解决方法。3分析所设计的电路能否出现竞争冒险现象。实验三 集成触发器一、实验目的:掌握基本RS、JK、D及T'触发器的

6、逻辑功能。二、实验任务与步骤:1基本RS触发器逻辑功能的测试:基本RS触发器常与机械按钮开关相配合构成去弹跳按钮开关,用以产生单脉冲做单脉冲源使用。学习机上使用的单脉冲就是这样产生的。如图3所示,图4则表明它不能给出清晰的单脉冲。图3 基本RS触发器图4 简单逻辑开关给出的有弹跳信号按表4完成图3电路的功能测试,SW按钮开关可用一端接地的引线代替,将引线的另一端由S端移向R端一次,相当于手按了一下SW按钮开关。R、S上的“”号表明低电平激励,高电平不起作用。表4R SQQ0 00 11 01 12集成JK触发器图5是JK触发器的逻辑符号图,其中:SD为异步置位端,小圆圈表示低电平有效。RD为异

7、步清除(复位)端。JK为同步控制输入端。它们只有在SD,RD为高电平时才起作用,JK的状态将告诉触发器在下一个时钟脉冲作用时该怎样动作。请注意CP输入端的小圆圈代表CP脉冲下降沿起作用。三角符号表示该触发器为边沿触发。如果JK端超过一个,它们之间是J1、J2相与或K1、K2相与的关系,这将为实现不同的控制逻辑提供了方便。图5 JK触发器逻辑符号(1)异步置位、复位功能测试:按照表5完成JK触发器异步置位和异步复位功能的测试。表5 异步动作表SDRDQQ110011010100注意:74LS112芯片的PR端为Sd端,CLR端为Rd端。(2)同步JK功能的测试:请按表6完成同步JK功能的测试:表

8、6 同步工作的JK功能表(同步表)tntn+1输入输出JKCPQn=0Qn=1000 1禁止方式复位方式置位方式反复方式010 1100 1110 1 注:tn表示时钟脉冲来到前的时刻;tn+1则是指时钟脉冲向低电平跳变之后的某时刻。(3)将JK触发器接成计数器工作状态(T'触发器):图6 D触发器逻辑符号CP端输入方波信号观察输入和输出端(Q、Q)的波形,并将它们画在同一张方格纸上,注意它们的相位关系与时间关系。3集成D触发器:集成D触发器逻辑符号如图6所示。完成下列实验任务:(1)异步置位端SD和异步复位端RD功能测试:按表7要求改变SD和RD(D及CP处于任意状态),并在SD和R

9、D作用期间任意改变D与CP的状态,测试SD和RD的功能,将测试结果记录于表中。表7 D触发器强制置位复位功能表SDRDQQ110011010100(2)D触发器功能的测试:按表8测试D触发器逻辑功能并记录于表中:表8 D触发器逻辑功能表DCPQn+1Qn=0Qn=10011010110(3)将D触发器的Q端与D端相连,接成计数器状态,CP端输入方波信号,观察输入与输出端(Q、Q的波形,把它们画在同一张方格纸上,注意它们之间的相位关系与时间关系)。三、实验设备:1数字逻辑学习机。2万用表。四、实验报告要求:总结基本RS触发器,JK触发器,D触发器的逻辑功能。了解JK、D、RS触发器后,设计一个R

10、S JK的电路,画出电路图,并验证其功能。实验四 计数器一、实验目的:1了解时序电路的设计方法和步骤,掌握计数器的工作原理,研究自启动问题。2掌握不同类型计数器设计、调试方法,进一步掌握数字示波器测量多路波形方法。3双J-K负沿触发器的工作特性二、实验器件:1)双J-K负沿触发器2) 二输入四与非门3)六反相器三、实验设计内容用双J-K负沿触发器设计一个可控五进制计数器,要求:1.当控制端 A=1 时,实现下述的状态:Q0Q1Q2 000à100à110à111à011à0002当控制端 A=0 时,实现下述状态:Q0Q1Q2 000à

11、;100à110à010à011à000测试并记录时钟 CK、 Q0、 Q1、 Q2的波形。3.测试 J-K 触发器的外特性, 并记录波形.四、设计过程1 .画出原始状态图:2.求出激励函数和激励方程:3.画出逻辑电路图4.实验结果记录1)J-K 负沿触发器 74LS114 外特性测试:2)J-K 负沿触发器实验波形纪录:J-K 负沿触发器( CP=500KHZ) A=1:J-K 负沿触发器( CP=500KHZ) A=0:五实验报告要求:1写出可控五计数器的设计过程。2画出用双J-K负沿触发器74LS114设计可控五计数器的逻辑电路图。3总结实验中出现

12、的问题,分析原因及解决方法。第二章 高密度可编程器件实验高密度可编程器件是目前国内外通用的硬件电路设计方法,也是学习电路设计的重要内容。通过学习本章,将为今后硬件设计打下良好的基础。 应注意不同层次的编程方法,应注意体会并灵活运用。【芯片简介】使用的芯片是 Altera Corporation 生产的 MAXEPM7128SLC-15。它包含 128 个宏单元,相当于 2000 个逻辑门。具有不同的封装形式(实验一般采用 PLCC84 封装)。芯片在使用和烧录时均使用 5V 直流电源。在芯片的 84 个管脚中,有8个管脚是用来接电源的,分别是管脚 3、 13、26、 38、 43、 53、 6

13、6、 78,它们在芯片管脚标注上被标 记 为 VCCIO 或VCCINT。还有 8 个管脚用来接地,分别是管脚 7、19、 32、 42、 47、 59、 72、82,标记为 GND。管脚14、 23、 62、 71 为烧录时与计算机并口连接端口。管脚 2、 83 为外部时钟输入。管脚 1 为芯片全局清零。其余 61 个管脚为芯片的 I/O 接口,用作与外部的输入输出交换数据。芯片外观及管脚定义见下图实验五 码制转换器的设计与实现一、 基本知识点1、 了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件EPM7128 芯片三、实验内

14、容应用 VHDL 语言设计实现新的数电实验,定义管脚,并且烧录在 EPM7128SLC-15或 ATF1508 上检验正确性。四、 设计要求设计一个双向转换电路,完成 8421<>格雷码的互换。同时设计一个四位二进制计数器产生 8421 码进行测试,并且留出输出的测试点。五、 过程分析1、 8421 与 Gray 码的互相转换真值表:表3.1 8421 与 Gray 码的互相转换真值表1、 表达式: 8421->Gray: G3=B3 G2=B2 XOR B3G1=B1 XOR B2 G0=B0 XOR B1 Gray->8421B3=G3B2=G2 XOR B3 B1

15、=G1 XOR B2B0=G0 XOR B12、 设计思路:时钟的每次触发都完成一次计数的增加,并且把计数的结果作为码制转换的输入直接进行码制转换。其中 SEL 是码制转换的选择端, 1 表示 8421->Gray; 0 则反之。这可以用 IF-THEN-ELSE 的结构来完成。另外计数结果也作为输出以便测试。六、程序代码-*library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;-*entity Gray8421 isport(switch

16、 :in std_logic; -1:8421 ->Gray;0:Gray->8421ck:in std_logic; -时钟输入ind:in std_logic_vector(3 downto 0); -转换输入点q:out std_logic_vector(3 downto 0); -二进制计数输出的测试点dst:out std_logic_vector(3 downto 0) -码制转换的输出测试点);end Gray8421;-*architecture a of Gray8421 isbeginprocess(ck)variable inc:unsigned(3 down

17、to 0); -计数器内部计数beginif ck'event and ck='1' theninc:=inc+1; -计数器内部计数end if;q<=std_logic_vector(inc); -把计数器的计数结果输出dst(3)<=ind(3); -以下完成码制转换dst(2)<=ind(2) xor ind(3);if switch='1' thendst(1)<=ind(1) xor ind(2);dst(0)<=ind(0) xor ind(1);elsedst(1)<=ind(1) xor ind(2)

18、 xor ind(3);dst(0)<=ind(0) xor ind(1) xor ind(2) xor ind(3);end if;end process;end a;-*七、 实验方法1、将编译好的程序下载到 EPM7128(或 ATF1508) 中。2、按照芯片管脚图接线。 Switch 接 K0,ck 接时钟。3、用手动置输入码,改变 Switch 观察输出转换是否正确。4、用可编辑数字信号发生器分别产生 2Hz 的 8421 码和 Gray 码进行转换,并将输入输出接入 LED 观察。5、 ck 接入 100KHz,将 q3q0 连接 ind3ind0,改变 Switch, 用

19、数字信号显示仪观察 q3q0;dst3dst0。实验六:任选实验仿照实验五的实验过程,从下列实验中任选其一进行编程实现,并进行仿真。(1) 双向移位寄存器。一、基本知识点1、了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件EPM7128 芯片三、 设计要求设计一个双向移位寄存器。并且将各个管脚留出对应的测试点,以便检验。(2) 节拍或序列发生器一、 基本知识点1、了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件EPM7128 芯片三、 设计要求设计一个四相序列发生器,要求产生如下波形。四相序列发生器波形图(3) 数字频率计数器设计一、基本知识点1、了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件EPM7128 芯片三、 设计要求设计一个 8 拍节拍发生器,要求产生如下 8 个连续波形(4) 汽车尾灯控制器的设计一、基本知识点1、了解 CPLD 器件的特性2、 VHDL 语言的编程思想及调试方法3、 QUARTUS 软件的使用方法二、实验器件EPM7128 芯片三、 设计要求设计汽车尾灯的模拟程序。(5) 电梯控制器的设计一、基本知识点1、了解 CPLD 器件

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论