




版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
1、VHDL4层电梯系统设计楼层时间resel 启动WHi设计一个电怀桌埴.-畏求1、 输入;启动楼层技 JLA层的上下按钮3X 上下设置口精冲k -k掌也楼信显示数ej备 引时数码笛 上行下有灯,楼房设曹灯.*3、每次按n&t,电并回甥I楼;,罚奄为电梯在1楼;-土电肆可上可下,甥达某一层酎切果需要开】.则管停眇.时间数毋管4Hz(Mlftr汗时完成后白幼譬读运布如果不浦宴开门*则不仲顿壁貌运行-6、楼层数料管显示申.样当ifi所在的楼层,两屋之何需要运行变秒时间* L电用此F墓房时.可以站程?(骂这的楼辰.但是选择本楼昴没育拄问反橙,童择墙果谄过置入按迎峰认-凯皆停”秒期间.如果.点击席用按钮
2、.则结束iWp电H崖埃正有.。队 无准电勘姓于何层,每 房均可逸挂上升还是下降咆描 或需景决定条苗贸在该横屋督停.如臬在新停期间栋事基的土 升条下墙技便.甘停计时心为u秒,W- 楼局设置灯实时时应当的有效的楼整透择.II. 电悌升降的规律:-反则允整版役置忧先.每个通善的楼层最登停止】:秒, 然启魅读适有.叵上行时不能通捧比当前楼艰虹的楼屋, F行时也不整透据比当fflf欢高的楼履-0摩则2:先上后F.当上下楼层郭有请求时T或看同 楼 保成有上又有下诸求酎,先上后下;O原则X 个方向走完再焯头,-山里所:到逸一个楼湖球勺由jipEmn 点与远行方向一独的那个方向靖如-.、二明:设计思路是电梯状
3、态机一个文件、数码管解码显示一个文件、时钟分频一个文件,防抖动一 个文件,后来发现数码管解码的过程有现成的库函数可以调用,于是就省去了这个文件,于 是我的工程就由3个vhd文件构成:1、电梯状态机模块:library xeee;use ieee.std_logic_1164 all;use ieee.std_logic_arith all;entity lifting.contorl isport (upper 1 r upper2 r upper3 # dovn2# down3, down4 : in std_logic; -电梯夕卜的按钮 stopl, stop2. stop3, stop
4、4 : in std_logic;电梯内的按迎 reset : in std_logic;-痘位按迎 boot : m std_logic;启动痰料 checkin : in std_logic;宜入披a clockl : in std_logic;-时神信号4Hz plight, down light : out std_logic;上下行指示灯 I p : out std_logic_vector(3 dovnto 0);tiMe_l : out std_logic_vector(3 dovnto 0);tiMe_2 : out std_logic_vector(3 dovnto 0);l
5、oorledl, floorled2r floorled3r floorled4 : out std_logic): end;architecture a of 1i t ing_contor1 istype states is(upl. up2. up3. dovl. dov2. dov3, stop, dvl. dv2, dv3. dv4):signal state : states;-表示电梯状态signal clearl. clear2, clear3, clear4. f 11, f 12. f 13. f 14 : stdogic;signal position : std_log
6、ic_vector(3 dovnto 0);电梯当的停的位宜signal tme_texp : integer range 12 to 0;signal lupr Idovn : std_logic;上下行状态signal fledlfled2, fled3, fled4 : std_logic;beginprocess(clock1)variable tine.vait : integer range 32 to 0;variable tixell : integer range 9 to 0;variable tme22 : integer range 1 to 0;begini(cloc
7、klevent and clockl-* 1 *) thenif reset1 * thenposition*0001*;tiMe-teMp-0;tiMe_vait:0;lup-e0e;ldovnO;clearl1 ,;clear21 ,;clear3* 1;技术博客丽clear4-le;state1叩=0 ;ldown=O;if boot=-1H then time_temp = 0: stated =dv4, elseStsteif boot=1H then time_temp = 0; 3tate =du4 r elsedu2: end it ;when dw2-?if boot=-1H
8、 then time_tempQt= 1H then tijne_temp = 0 : stated =dw4 r elsestateif time_tenp=0 theniE positiQn=-0001 then fll= 0 ;dearie = 1b ;if f12-*1 or 13-1 or i14=bl thenstateupl:1冲1 1 j ldown fc111 ;end if :elsif position3OOIQ thenfl2=0,;elear2=1;if f13-11 or f14-1 thenst&teup2:library ieee;use ieee.std_lo
9、gic_1164 all;entity counter isport (clock : in std_logic;时钟信 号! 1MHzclockl : out std_logic); -4Hz的悟号 end;architecture 己 of counter issignal ell : std_logic;beginprocess(clock)variable coni : integer range 1 to 12S000;begini f(clock * event and clock-111) thenif(coni=125000) thencll=not ell;一一cll-4fc
10、coni:=1;elseconi:=conl+l;end if;end i:end process:clockl一始 出赋值end:library ieee;entity Fangdou is port(cloclt.all;in std_logic;时钟信号 10Hzstd_logic;关信号architectureout std_logic);-经防抖电路后的开美信息a oF fangdou is signal cp : stdiogic; signal jsp : integer range 0 to 3;beginprocessfclock) beginiF(clock*euent a
11、nd cloch=*1) then if thanif jsp=3 then Jsp-jsp; elsejsp-jsp+1; end if; if jspT then cp=*1; elsecp=* O; end iF;else jsp=0;end IF; end if;keyout=cp: end process; end;1 iaeee;j.eee. igiie_ 11 &4 . al 1 jentity struct isport (uppel P upper-W . upperSp dow5f|_.?P dovn_3P down 4 . i. sidlagic龟榛外的上下惜靖球通 tc
12、p_.3r step I . in ittdlogic: 6?X69IS press m std_logie,: -reset nJ clock m md_logiG 研村1店号卜 IHKz boo* :比 std_log ic; 15 ffl checkin in std_logic: up_li9htu dQvn_light : out stdlogic: FtfiTi lcor lKl_ 1f loor led_? f lrl;的(ft入憎球e3 ;archi (ectura sjrsteH. o struct iss i-gM 1 tp : stdloric;caBpccnent ca
13、cmter国用分 S?&i根饮:per e (el&ck : ib *td. l&gie; elcekl : out std_lo?ie)j 5d coh-peaient;coupon ent lit tiftg_eoB.ictrl用电 恍扭的横垸oatporktupper】upper?. iiRper3r deva2P downS . dovn.4 . ih. Bid_logie:一电梯外的捕钗 opl. stop2P s=Eop3. stopf in Btd_logic:W梯内的板些 reset : m :stdLlog诂;一it枚枝ifi boot : in std_Iogic: Sffi diBGkin - in std_ logic - Fi clockl - in sd_logic;-4Hz viplight
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 行政管理与经济法的动态互动试题及答案
- 高一田径模块教学设计
- 项目管理从业者的试题及答案宝典
- 高端简约大气风格设计指南
- 行政管理经济法常识奋斗试题及答案
- 行政管理与公共关系学考试动态试题及答案
- 行政管理中公共关系的实际案例试题及答案
- 建筑工程承包及施工合同
- 粉尘防爆安全知识培训
- 畜牧业智慧养殖技术手册
- 农村房修建合同范本
- JT-T-1230-2018机动车发动机冷却液无机阴离子测定法离子色谱法
- GB/T 2039-2024金属材料单轴拉伸蠕变试验方法
- DL-T684-2012大型发电机变压器继电保护整定计算导则
- 跨界产品研发与实战智慧树知到期末考试答案章节答案2024年深圳职业技术大学
- 任务三 撰写直播脚本-活动2 商品直播脚本
- 艺术中国智慧树知到期末考试答案2024年
- 提高卧床患者踝泵运动的执行率
- JGJ7-91网架结构设计与施工规程
- bone骨和软骨课件
- 中央空调系统(多联机)改造设计方案
评论
0/150
提交评论