毕业设计(论文)电梯控制器的实现与仿真设计_第1页
毕业设计(论文)电梯控制器的实现与仿真设计_第2页
毕业设计(论文)电梯控制器的实现与仿真设计_第3页
毕业设计(论文)电梯控制器的实现与仿真设计_第4页
毕业设计(论文)电梯控制器的实现与仿真设计_第5页
已阅读5页,还剩25页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、目 录 TOC o 1-3 h z u HYPERLINK l _Toc20589 摘要 PAGEREF _Toc20589 I HYPERLINK l _Toc13710 Abstract. PAGEREF _Toc13710 II HYPERLINK l _Toc22748 第一章 绪论 PAGEREF _Toc22748 1 HYPERLINK l _Toc5096 1.1 选题的依据及意义 PAGEREF _Toc5096 1 HYPERLINK l _Toc17199 PAGEREF _Toc17199 1 HYPERLINK l _Toc20746 1.2.1 国内外电梯研究状况

2、PAGEREF _Toc20746 1 HYPERLINK l _Toc23959 1.2.2 电梯的开展趋势 PAGEREF _Toc23959 2 HYPERLINK l _Toc14851 第二章 电梯控制系统的实现平台 PAGEREF _Toc14851 3 HYPERLINK l _Toc28978 2.1 EDA技术的概述 PAGEREF _Toc28978 3 HYPERLINK l _Toc14391 2.1.1 什么是EDA技术 PAGEREF _Toc14391 3 HYPERLINK l _Toc30133 2.1.2 EDA的特点 PAGEREF _Toc30133 3

3、 HYPERLINK l _Toc8503 2.1.3 EDA技术的应用展望 PAGEREF _Toc8503 3 HYPERLINK l _Toc1983 2.2 FPGA技术介绍 PAGEREF _Toc1983 4 HYPERLINK l _Toc11789 第三章 电梯控制系统的设计 PAGEREF _Toc11789 5 HYPERLINK l _Toc5943 3.1 电梯设计的具体目的及控制要求 PAGEREF _Toc5943 5 HYPERLINK l _Toc11188 3.2 电梯控制系统设计方案与思路 PAGEREF _Toc11188 5 HYPERLINK l _T

4、oc20212 3.2.1 总体设计方案 PAGEREF _Toc20212 5 HYPERLINK l _Toc4796 3.2.2 电梯控制器设计思想 PAGEREF _Toc4796 6 HYPERLINK l _Toc4945 3.2.3 电梯的状态转换 PAGEREF _Toc4945 6 HYPERLINK l _Toc28726 第四章 电梯控制系统的设计 PAGEREF _Toc28726 8 HYPERLINK l _Toc12550 4.1 程序流程分析 PAGEREF _Toc12550 8 HYPERLINK l _Toc19917 4.2 电梯主控制器模块 PAGER

5、EF _Toc19917 9 HYPERLINK l _Toc26819 PAGEREF _Toc26819 10 HYPERLINK l _Toc22319 4.4 电梯译码器模块 PAGEREF _Toc22319 10 HYPERLINK l _Toc21616 4.5 电梯控制器顶层原理图 PAGEREF _Toc21616 11 HYPERLINK l _Toc12243 第五章 系统软件的仿真 PAGEREF _Toc12243 12 HYPERLINK l _Toc24103 5.1 Quartus II软件的介绍 PAGEREF _Toc24103 12 HYPERLINK l

6、 _Toc9183 5.2 电梯楼层选择器模块的波形软件仿真图 PAGEREF _Toc9183 12 HYPERLINK l _Toc27149 5.3 电梯译码器模块的波形软件仿真图 PAGEREF _Toc27149 13 HYPERLINK l _Toc30003 5.4 电梯清零的波形软件仿真图 PAGEREF _Toc30003 14 HYPERLINK l _Toc4001 5.5 电梯上运行波形软件仿真图 PAGEREF _Toc4001 14 HYPERLINK l _Toc27605 5.6 电梯下运行的波形软件仿真图 PAGEREF _Toc27605 15 HYPERL

7、INK l _Toc19905 5.7 电梯上下运行的波形软件仿真图 PAGEREF _Toc19905 15 HYPERLINK l _Toc29871 总结 PAGEREF _Toc29871 16 HYPERLINK l _Toc31043 参考文献References PAGEREF _Toc31043 17 HYPERLINK l _Toc8480 致谢 PAGEREF _Toc8480 18 HYPERLINK l _Toc25515 附录 PAGEREF _Toc25515 19电梯控制器的实现与仿真设计摘要:由于传统电梯的控制方式继电器控制设计的电梯控制器有着使用寿命低,体积巨

8、大,弧光放电非常严重,性能不稳的缺点,所以提出了基于 Verilog HDL语言的电梯控制器的实现与设计。本设计以EDA软件为工具,FPGA 芯片为核心,运用EDA软件工具Quartus II,设计的各种功能用Verilog HDL语言来进行描述,而编译、仿真、调试及综合那么通过在集成软件环境中进行。用FPGA芯片来实现6层电梯控制系统,电梯的进口和里面都安装了上下楼请求开关,乘客可自己选择要抵达的楼层。电梯的运转是以方向优先为准那么的,也就是说当电梯上升的时侯只会响应上升的信号,下降的时候只响应下降的信号,直到电梯到达最顶层或者最底层,然后继续切换到另一状态模式运行。电梯具备有延时的功能,而

9、且能够精确明显的表现出电梯的运行状态和电梯实时所处楼层。关键字: 电梯,EDA,FPGA,Quartus IIThe Realization of Elevator Controller Design and SimulationAbstract:For traditional elevator control ( relay control ) huge volume elevator controller design , low life , arc discharge serious performance shortcomings instability proposed eleva

10、tor controller design based on Verilog HDL .This design based on EDA software tools, the FPGA chip as the core, the various functions in EDA software tool Quartus II is described using Verilog HDL language, compiled in the integrated software environment, simulation and debugging and comprehensive.

11、Complete the 6 layers of elevator control system with FPGA, the elevator entrance and with internal request context switches, passengers can choose to arrive at the floor. The priority principle, follow the direction of elevator running is rising only respond when the up signals,when is falling only

12、 respond when the down signals ,until after the top or bottom, and then moved to another mode. Elevator has the time delay function, and can correctly display the operating condition of the elevator, elevator floor.Keywords: Elevator, FPGA, EDA, Quartus第一章 绪论1.1 选题的依据及意义随着社会的快速开展和生产生活的需要,城市高层建筑如雨后春笋

13、拔地而起。电梯正渐渐的融入大家的生活当中,随处可见的电梯大大的影响着人们的生活质量。科技开展日新月异,各种高端的电梯新技术不断的开展,为的就是电梯能够更好的为人民效劳。由于电梯已然存在于我们工作、生活、娱乐等等各种场合,和人们关系密切,息息相关,所以电梯的平安、迅捷和舒适性对人们来说是尤其重要的。每一部电梯都有相关的负责人,也就是说电梯都有专业的运维职员来确保其正常的工作,包括电梯的平安使用和故障排除,这些人员一定要充分的掌握电梯的根本原理,并且对电梯的性能和控制要全部的熟悉,这样才能够正确的对电梯进行日常的运行和维护。当前电梯控制系统主要的有三种控制方式:继电器式控制系统、FPGA/CPLD

14、控制系统、微机控制系统1。继电器控制系统不但容易出故障,而且控制起来复杂和需要损耗的功率大等等弊端,已然不能满足国家的标准,正被慢慢淘汰中。然而FPGA/CPLD控制系统非常的便捷可靠,抗外界干扰能力强悍,调试和实现目标的耗时短等优点,使之赚足人们的眼球,果不其然,FPGA/CPLD控制系统成为了所有电梯控制系统中使用最多,最广泛的。到了21世纪的今天,跟随电子科学技术快速开展,现代生产出的电子产品进入到了社会的各行各业,不仅仅促进了社会总体生产力的飞速提高,而且大大提升现代电子产品功能和作用,产品更新换代的节奏也越来越快。作为电子信息系的学生,我的毕业设计要联系生活实际致力于正在兴起的电梯文

15、化,探索电梯的未来开展方向。用大学四年所学来设计电梯系统的控制器,在设计的同时稳固我的根底知识。由于FPGA/CPLD控制系统非常的便捷稳定、抗外界干扰能力强悍、设计起来灵活简便等特点,在工业方面也有着广泛的作用。我国电梯行业在20世纪末期引进了FPGA/CPLD控制系统,该电梯控制系统瞬间走红,得到了国内电梯制造厂商普遍认同,成为了主流的电梯控制系统并且在初期就认定了一揽子的电梯产品1。1.2.1 国内外电梯研究状况 这些年来,我国电梯的出口数量也是急剧增加,每年电梯出口的平均增长率超过了34%,电梯行业也可以说在国内占有了一席之地。众所周知,我国地域辽阔,人口众多,有着庞大的电梯市场需求,

16、所以以后将会成为世界上最大的电梯市场,前景不可估量。就比方说在08年,国内生产的电梯数量就超过了21万台,成为了全世界生产电梯数量最大的国家之一2。成立于1997年3月的西子奥的斯电梯,专业创新,生产和销售电梯在国内是名列前茅,是中国节能电梯的引领者和实践者3。现在的社会,电梯生产和使用的情况也成为了权衡国家工业现代化程度的标志之一。世界上知名电梯品牌,电梯行业的顶级品牌奥的斯电梯、三菱电梯和日本的日立电梯等等,这些知名品牌占据了大半的市场份额4。1.2.2 电梯的开展趋势在如今的社会中,伴随着经济的飞速开展和科技的快速进步,电梯行业也是处在高速的开展期。世界上比拟兴旺的国家对电梯的使用那是相

17、当的普及,然而在这些兴旺并且人口密集的地方,人多地少,土地资源非常的短缺。这就说明了必须合理利用土地资源来解决人与土地的矛盾,而兴建高层建筑成为一个很好且有效的方向。而高层建筑中极其重要的就是电梯了,平安可靠,高技术高标准的电梯才能满足人们的需求。有数据估计,当前世界上在使用的电梯已经有630万台左右,在这些电梯当中垂直电梯占有率为96.1%,剩余的3.9%为自动扶梯等。比方在一些兴旺的地区,平均每一千人的电梯占有量为3台左右,有些国家和地区的每一千人的电梯占有量甚至到达了12台。随着城市化水平的提高,电梯行业的市场将更加的繁荣兴盛5。第二章 电梯控制系统的实现平台 2.1 EDA技术的概述E

18、DA也就是电子设计自动化Electronic Design Automation的简称,是上世纪90年代初期基于CAM计算机辅助制造、CAT计算机辅助测试、CAE计算机辅助工程和CAD计算机辅助设计的概念上逐步开展而来的。电子设计自动化技术的先导为我们熟知的计算机科学技术和微电子技术,它不仅仅将计算机图形学、微电子技术和各种高端先进计算机应用技术涵盖于其中,而且在目前前沿的计算机当中设计出了一系列较为完美的电子设计的工具6。EDA技术广泛的运用于电子电路设计的各个领域,无论是从线性到非线性、上下频电路的转换、模数之间的转换各个设计过程,还是工程师开发生产产品的过程6。2.1.1 什么是EDA技

19、术在电子电路大规模编程设计中,EDA技术迅速的开展。正所谓EDA技术就是以计算机作为工具,开发设计人员在相应的EDA软件中,开发系统运用的是相应的硬件描述语言,设计好语言后接下的工作就由计算机自动完成了,包括了编译、仿真、运行等一系列的过程。很大程度上提高了效率和操作性,这样大大的减少了设计人员珍贵的时间。现在,EDA技术应用的范围十分的广泛,应用于通信、航空、医学、化工、军事等等各个领域,不过主要针对设计的是电子电路的设计、PCB设计、IC幅员的设计6。2.1.2 EDA的特点 当今电子电路设计技术的前沿开展方向无疑是EDA技术,开发人员应用的是“自顶向下的设计理念,对要设计系统进行方案设计

20、,分工明确。EDA工具就是为方便人们开发而生的电子电路的系统工具,辅助电子设计的每一个过程,使复杂的电路系统逐步的简单化。例如在设计原理图时,可以用EDA中的仿真工具对其进行正确性的论证。接下来设计芯片的时候,也可以运用EDA的芯片设计工具来设计芯片的幅员。在电路板设计阶段,亦可以用EDA中电路板设计工具来设计各种多层次的电路板。利用EDA工具,开发设计人员设计好系统和硬件描述语言,剩下的局部根本上就可以交给计算机来完成6。2.1.3 EDA技术的应用展望EDA 技术的开展非常的迅猛,逐渐广泛应用于教学、科研和电子设计等方面。就比方在教学方面,高校的理工科都开设了相应的EDA课程教学,主要是要

21、求学生们熟悉EDA的根本概念和原理,通过运用EDA软件工具辅助完成设计实验。电子系的学生更是受益匪浅,当他们在做电子设计竞赛或者毕业设计时,借助FPGA器材可以更加可靠,快速方便完成目标。EDA技术开展日新月异,设计工具层出不穷,但我国的研发水平有限,必需赶上电子时代的潮流7。2.2 FPGA技术介绍20世纪80年代,美国的赛灵思公司开发了通用型可编辑逻辑器件,那就是现场可编程门阵列FPGAField Programmable Gate Array。FPGA作为一种新开发出的可编辑逻辑器件,它具有比门阵列更高的集成度,比其它可编辑逻辑器件更具灵活性,效率也有明显的提升,在各种各类的电子产品中得

22、到了应用。可编程逻辑单元、输入输出单元和内部布线构成FPGA,一个小小的FPGA芯片就可以开发出大规模高标准集成电路8。FPGA的设计由设计输入、综合、功能仿真、实现、时序仿真、配置下载组成。 FPGA的配置模式包括并行主模式、串行模式、主从模式和外设模式。其中一片FPGA芯片加一片EPROM就构成并行主模式;而串行模式就是采用串行的PROM芯片来编辑FPGA;主从模式可以支持一片PROM编程多片FPGA;在外设模式中,作为外设的FPGA被微处理器编辑8。如今,FPGA中存放器资源非常的丰富,适用于各种各样的数字系统。FPGA提供了很高的逻辑密度和高性能,在通信、电子、工业等各种领域起着无比重

23、要的作用9。第三章 电梯控制系统的设计3.1 电梯设计的具体目的及控制要求用FPGA芯片来设计6层电梯的控制系统,要求显示当前电梯所处楼层位置和其他状态信号。电梯控制系统的要求如下:1、电梯初始状态为一层,处在关门状态;2、每楼层的电梯进口处都具有上下楼开关,而电梯的内部装有楼层的选择按钮和实时状态显示;3、显示电梯所处位置以及电梯上升或下降状态;4、电梯处于上升下降状态时,当电梯接收到停站请求信号抵达后,停止一秒后电梯门翻开,开门指示灯亮。电梯开门四秒,之后电梯门慢慢关闭,进入下一个状态继续运行,一直到完成所有的指令后停留到当前所在的楼层等待;5、电梯运行的规那么:电梯总设计为6层,上升或下

24、降一层的时间为一秒。当电梯运行处于上升状态时,是单向响应指令的,也就是这时电梯只能响应比当前电梯所在楼层更高层的请求,从低层到高层逐个执行,直至完成最后一个上升请求。当高层有下楼请求时,电梯直接升至下楼请求中的最高楼层,然后切换状态进入下降状态。电梯的下降模式,工作方式和上升时是相反的。3.2 电梯控制系统设计方案与思路3.2.1 总体设计方案如图控制系统的功能模块,分别是状态显示器、主控制器、楼层选择器、译码器和楼层显示器。上述器件中的主控制器为电梯控制的核心部件,电梯开始运行,主控制器监控电梯所有的运转情况,通过按钮来进行控制电梯的状态和楼层的选择,通过按钮指示灯来了解电梯的工作状态和运行

25、情况的显示。电梯控制系统总体设计如图3.1所示:状态显示主控制器译码器楼层显示器楼层选择器图3.1 电梯控制系统总体设计框图3.2.2 电梯控制器设计思想状态机的特点非常适用于电梯控制器,它的条理比拟清楚。我们可以把电梯的开门、关门和等待状态都看成是各自独立开的。刚好电梯上升或下降一层的时间为一秒,所以触发状态机的时钟周期就可以设定为一秒。当电梯开始工作时,可以把其状态分为五个状态,分别为“等待状态,“下降状态,“开门状态,“上升状态,“关门状态。电梯的状态转换图如图: 开门状态 下降状态 关门状态 等待状态 上升状态 图3.2 电梯状态转换图 电梯的状态转换 电梯设定状态分别是close_s

26、tate关门状态、open_state开门状态、idle_state等待状态、down_state下降状态和up_state上升状态。具体的状态转换如下:1当reset复位为高电平的时候,进行清零,此时电梯的初始状态是等待状态。当有乘客在当前楼层有上下请求时,电梯的状态由等待状态切换成开门状态。当发送请求的楼层比当前电梯所处楼层更高时,电梯的状态由等待状态切换成上升状态。类似的,当发送请求的楼层比当前电梯所在楼层更低时,电梯的状态由等待状态切换成下降状态。当d是0即电梯的内部有请求指令时:假设和当前电梯所处楼层相同时,电梯的状态由等待状态切换成开门状态;假设比当前电梯所在楼层更高时,电梯的状态

27、由等待状态切换成上升状态;当比当前电梯所在楼层更低时,电梯的状态由等待切换成下降状态。2当电梯为上升状态时,假设电梯内外的请求楼层和当前电梯所在楼层相同时,电梯的状态由上升状态切换成开门状态。假设电梯内外的请求楼层高于当前电梯所在楼层时,电梯的状态由上升状态切换成上升状态。假设电梯内外的请求楼层低于当前电梯所在楼层时,电梯的状态由上升状态切换成下降状态。假设电梯的外部有下降请求信号即dn不为0时,假设请求楼层和当前楼层相同时,电梯的状态由上升状态切换为开门状态;假设请求楼层高于当前电梯所在楼层时,电梯的状态由上升状态切换成上升状态;假设请求楼层低于当前电梯所在楼层时,电梯的状态由上升状态切换成

28、下降状态。3当电梯为下降状态时,假设电梯内外的请求信号等于当前电梯所在楼层时,电梯的状态从下降状态切换成开门状态;假设电梯内外的请求信号高于当前电梯所在的楼层时,电梯的状态由下降状态切换为上升状态;假设电梯内外的请求信号低于当前电梯所在楼层,电梯的状态由下降状态切换成下降状态。当up不为0即电梯外部有请求指令时,当请求楼层和当前电梯所在楼层相同时,电梯的状态从下降状态切换成开门状态;倘假设请求楼层大于当前电梯所在楼层时,电梯的状态从下降状态切换成上升状态;当请求楼层小于当前电梯所在楼层,电梯的状态从下降状态切换为下降状态由。4当电梯处于开门状态时,假设count5,电梯从开门状态转换为开门状态

29、,当count为5时,电梯从开门状态转换为关门状态。5当电梯处于关门状态时,根据上下标志进行转换,进入相应的其他状态。第四章 电梯控制系统的设计 4.1 程序流程分析设定好电梯的运行标准后,还需要对电梯控制程序制作好标准的流程,对控制程序进行模块化设计。在使用Verilog HDL语言时,程序的构成必须是最根本的实体和结构体。其中实体的作用是定义控制器的端口,而结构体的作用那么是描述各个端口的行为。如下列图所示,程序运行所经过的流程:程序开始;Verilog HDL库调用;设定电梯控制器端口和相应存放器;按照电梯运行的准那么,制定电梯运行的相应描述;处理电梯内外的请求信号。详细流程图如下列图4

30、.1所示。 程序结果 信号处理设置电梯控制器的端口Verilog HDL库调用设置相关的存放器电梯运行规那么描述程序开始 图4.1 电梯程序流程图4.2 电梯主控制器模块如图4.2: 图4.2 电梯主控制器模块图图中左边的是电梯中输入输出局部。其中:clk为时钟信号;reset复位为清零信号,在高电位置零,静态数码管用led来显示,电梯上下楼层的标志为ud_f,count为开门状态时的计数器;电梯外部的一楼到五楼的上升请求分别为up1、up2、up3、up4、up5;而电梯外部的二楼到六楼的下降请求分别为dn2、dn3、dn4、dn5、dn6;电梯内部的一楼到六楼的上下请求分别是d1、d2、d

31、3、d4、d5、d6。上图右侧的是程序中设定的变量和状态参量。如下图:设定的上下楼标志参数分别为up_f,dn_f,idle;电梯的各种运行状态的参数为idle_state=3b001,up_state=3b010,down_state=3b011,open_state=3b100,close_state=3b101;各楼层的参数分别是f1=6b000001,f2=6b000010,f3=6b000100,f4=6b001000,f5=6b010000,f6=6b100000。4.3电梯楼层选择器模块如图4.3:图4.3 电梯楼层选择器模块图中左侧led3.0局部是楼层选择器的输入局部,右边a

32、3.0是输出局部。右边的a3.0功能是将数据传递给译码器局部的输入端口。4.4 电梯译码器模块如图4.4:图4.4电梯译码器模块图中左边a3.0局部是电梯译码器模块的输入局部,右边c6.0是输出局部。4.5 电梯控制器顶层原理图电梯控制器顶层原理图包括电梯主控制器原理图,电梯楼层选择器原理图,电梯译码器原理图。电梯控制器的顶层原理图如下4.5 : 图4.5电梯控制器顶层原理图第五章 系统软件的仿真5.1 Quartus II软件的介绍Quartus II是Altera公司近几年推出的新一代,功能强大的可编程逻辑器件设计环境。Quartus II软件提供了EDA设计的综合开发环境,是EDA设计的

33、根底6。Quartus II集成环境支持系统级设计,嵌入式系统设计和可编程期间设计的设计输入,编译,综合,布局,布线,时序分析,仿真,编程下载等EDA设计过程。使用Quartus II的MegeWizard Plug Manager中的宏功能模块可以帮助用户完成一些复杂系统的设计。这些宏功能模块包括LPMLibrary Parameterized Megafunction,MegaCore如FFT,FIR等。利用宏功能模块设计的图形电路可以转换为VHDL或Verilog HDL文件,被其他EDA工具调试和运行10。5.2 电梯楼层选择器模块的波形软件仿真图本模块的主要功能是将电梯主控制器的输出

34、信号led3.0送给电梯楼层选择器的a4.0。当led3.0=3b0000时,输出信号a3.0=4b0000;当led3.0=3b0001时,输出信号a3.0=4b0001;当led3.0=3b0010时,输出信号a3.0=4b0010;当led3.0=3b0011时,输出信号a3.0=4b0011;当led3.0=3b0100时,输出信号a3.0=4b0100;当led3.0=3b0101时,输出信号a3.0=4b0101;当led3.0=3b0100时,输出信号a3.0=4b0100;其他情况输出信号a3.0=4b0000;仿真波形图如下5.2显示:图5.2 电梯楼层选择器模块的波形软件仿

35、真图5.3 电梯译码器模块的波形软件仿真图本模块的主要功能是将电梯楼层选择器模块的输出信号a3.0送给电梯译码器c6.0。当a3.0=4b0000时,输出信号c6.0=7b0111111;当a3.0=4b0001时,输出信号c6.0=7b0000110;当a3.0=4b0010时,输出信号c6.0=7b1011011;当a3.0=4b0011时,输出信号c6.0=7b1001111;当a3.0=4b0100时,输出信号c6.0=7b1100110;当a3.0=4b0101时,输出信号c6.0=7b1101101;当a3.0=4b0110时,输出信号c6.0=7b1111101;其他情况时,输出

36、信号c6.0=7b0111111;仿真波形图如下5.3显示:图5.3电梯译码模块的波形软件仿真图5.4 电梯清零的波形软件仿真图当清零信号reset等于1时,上下标志为0,楼层显示为1,如图5.4显示:图5.4 清零的波形软件仿真图5.5 电梯上运行波形软件仿真图当一楼和四楼都有人要到六楼,led从一楼显示到六楼,ud_f的标志显是为上,但在一楼和四楼分别停留了五个周期,这就是我程序中的开门。电梯在到达六楼的时候一直没有请求,所以停在了六楼。如图5.5显示:图5.5 电梯上运行波形软件仿真图5.6 电梯下运行的波形软件仿真图六楼有乘客要下楼,led分别从一楼显示到六楼,ud_f的标志显示为上,

37、在六楼停留五个周期后,切换为下降状态并且ud_f的标志显示为下,而人们要到达的楼层分别是三楼和一楼,所以在三楼和一楼分别停留了五个周期,之后因为没有请求所以停留在一楼。如图4.5显示图5.6 电梯下运行的波形软件仿真图5.7 电梯上下运行的波形软件仿真图六楼有人要下,如图4.6显示,四楼有人要上要下,按照方向为第一优先准那么,由于电梯处于上升状态,所以电梯先要运行到四楼直接是上升的状态,把四楼的乘客送到六楼后,电梯开始下降,把原来要下降的乘客在送到要到的楼层,送到目的楼层一楼后,如果没有其他的请求,电梯会一直停在一楼。图5.7电梯上下运行的波形软件仿真图总 结在经济快速开展,科学技术日新月异的

38、今天,楼宇的高度已和经济开展同样的速度成长起来。电梯是我们生活中不可缺少的一局部,而我的毕业设计课题是电梯控制器的实现和仿真设计。我所设计的电梯控制器是6层,它的运行规那么为上升或下降一层的时间为一秒。当电梯运行处于上升状态时,是单向响应指令的,也就是这时电梯只能响应比当前电梯所在楼层更高层的请求,从低层到高层逐个执行,直至完成最后一个上升请求。当高层有下楼请求时,电梯直接升至下楼请求中的最高楼层,然后切换状态进入下降状态。电梯的下降模式,工作方式和上升时是相反的。通过毕业设计,学到了很多之前不了解的知识,也意识到了大学课程对我们今后的学习有很大的帮助。这次设计给我最深的印象就是扩大自己的知识

39、面,学习了很多以前不了解的知识,了解更多与本专业有关的科技信息,与时代共同进步,才能在将来成为有用的科技人才,觉得平时所学的知识有了实用的价值,到达了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。参考文献References黄静,郭勇.对目前国内电梯控制技术的研究M.电脑与信息技术,2002.常斗南.PLC在电梯群控系统中的应用设计M.天津职业技术学院报,2003.江国强.EDA技术与应用M.北京:电子工业出版社,2004.8.高冬冬.基于CAN通信的电梯控制系统设计与开发J.浙江工业大学,2021.04.马建

40、国,孟宪元.FPGA现代数字系统设计M .北京:清华大学出版,2021.邹道胜,朱如琪.CPLD/FPGA与ASIC设计实践教程M.北京:科学出版社,2021.9潘松, 黄继业.EDA 技术实用教程 M.北京:科学出版社, 2002.朱明程.FPGA原理及应用设计M.北京:北京电子工业出版社,1994.云创工作室.VHDL程序设计与实践M.北京:人民邮电出版社,2021.02.雷伏容.VHDL电路设计M.北京:清华大学出版社,2006致 谢时间飞逝,大学的四个春夏秋冬就要过去了,我的校园生活就要划上圆满的句号,回望这四年,仿佛昨日。孜孜不倦的老师,善良可爱的同学,美丽幽静的校园,一直陪伴着我。

41、当想想就要离各自分开了,心中是无尽的不舍。历时近半年,终于结束了。从最初的选题、写开题报告,到后来的初稿、二稿、定稿, 每一个步骤都会有挫折。在这期间,有很多人给我帮助,给我建议。首先,我要感谢谭菊华导师对我的悉心指导。在设计的过程中细心的教导我,给我提出问题,让我及时改正,在论文中所遇到的问题也给予了很多珍贵的意见。老师诲人不倦的精神,治学严谨的态度,让我无比钦佩。还要感谢大学期间遇到的其他老师,谢谢你们让我在学到知识的同时,也学到了如何做人,你们的言传身教将让我一生受用。其次,我要感谢我的亲人和同学们。感谢同学们在我的写作过程中帮我查阅文献资料,给我提出建议,帮我查阅错别字,最重要的你们陪

42、伴我度过了最美好的大学时光。还有我的亲人,这么多年来,正是你们的支持和鼓励,才使我顺利地完成学业;正是你们的关心和默默的奉献,使我在学习的道路上乐观向上、勇往直前。最后,衷心感谢辩论组的各位老师,百忙之中为我们进行辩论。 袁群 2021年5月26日附录 电梯控制器程序:module elevator_controller(clk,reset,up1,up2, up3, up4, up5,dn2, dn3,dn4, dn5,dn6,d1, d2, d3, d4, d5,d6,led,count,ud_f);output 1:0 ud_f;output 3:0 count;output 3:0 l

43、ed;input clk,reset,up1,up2,up3,up4,up5,dn2,dn3,dn4,dn5,dn6,d1,d2,d3,d4,d5,d6;reg 5:0now_f;reg 3:0 count;/reg 1:0 up_f,dn_f,idle;reg 2:0 state;reg 2:0 next_state;reg 5:0 up,dn,d;reg 1:0 ud_f;reg 3:0 led;parameter up_state=3b010,down_state=3b011,open_state=3b100,close_state=3b101,idle_state=3b001;para

44、meterf1=6b000001,f2=6b000010,f3=6b000100,f4=6b001000,f5=6b010000,f6=6b100000;parameter up_f=2b01,dn_f=2b10,idle=2b00;always (up1 or up2 or up3 or up4 or up5)up=1b0,up5,up4,up3,up2,up1;always (dn2 or dn3 or dn4 or dn5 or dn6)dn=dn6,dn5,dn4,dn3,dn2,1b0;always (d1 or d2 or d3 or d4 or d5 or d6)d=d6,d5,

45、d4,d3,d2,d1;always (posedge clk )beginif(reset)count=0;else if(next_state=open_state)&(count5)count=count+1;elsecount=0;endalways (posedge clk )beginif(reset)state=idle_state; elsestate=next_state;end /有限状态机第二段always (state or up or dn or d or now_f or count or ud_f)case(state)open_state:beginif(cou

46、nt0)beginif(d&now_f)0)next_state=open_state;else if(dnow_f)next_state=up_state;elsenext_state=down_state;endelse if(up&now_f)|(dn&now_f)next_state=open_state;else if(upnow_f)|(dnnow_f)next_state=up_state;else if(up|dn)next_state=down_state;elsenext_state=idle_state;endup_state:beginif(d&now_f)|(up&n

47、ow_f)next_state=open_state;else if(dnow_f)|(upnow_f)next_state=up_state;else if(d|up)next_state=down_state;else if(dn0)beginif(dnnow_f)next_state=up_state;else if(dn&now_f)|(now_ff6) next_state=open_state;else if(dn&now_f)&(now_f=f6)next_state=open_state;elsenext_state=down_state;endelsenext_state=i

48、dle_state;enddown_state:beginif(d&now_f)|(dn&now_f)next_state=open_state;else if(dnow_f)&(d!=6b000001)|(dnnow_f)|(dnnow_f)next_state=up_state;else if(up0)beginif(upf1) next_state=down_state;else if(up&now_f)&(now_f=f1)next_state=open_state;elsenext_state=up_state;endelsenext_state=idle_state;endclose_state:beginif(ud_f=up_f)beginif(d&now_f)|(up&now_f)next_state=open_state;else if(dnow_f)|(upnow_f)next_state=up_state;else

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论