(精选word)电梯控制器-verilog语言_第1页
(精选word)电梯控制器-verilog语言_第2页
(精选word)电梯控制器-verilog语言_第3页
(精选word)电梯控制器-verilog语言_第4页
(精选word)电梯控制器-verilog语言_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、(完整word版)电梯控制器-Verilog语言(完整word版)电梯控制器-Verilog语言(完整word版)电梯控制器-Verilog语言目录 TOC o 1-3 h z u HYPERLINK l _Toc9 摘 要 PAGEREF _Toc9 h 2 HYPERLINK l _Toc0 正文 PAGEREF _Toc0 h 3 HYPERLINK l _Toc1 1设计目的及要求 PAGEREF _Toc1 h 3 HYPERLINK l _Toc2 2设计原理 PAGEREF _Toc2 h 3 HYPERLINK l _Toc3 设计实现原理 PAGEREF _Toc3 h 3

2、HYPERLINK l _Toc4 项目分块及其实现方案 PAGEREF _Toc4 h 5 HYPERLINK l _Toc5 电梯控制器的流程图 PAGEREF _Toc5 h 6 HYPERLINK l _Toc6 3设计内容 PAGEREF _Toc6 h 7 HYPERLINK l _Toc7 基本状态设计 PAGEREF _Toc7 h 7 HYPERLINK l _Toc8 模块设计 PAGEREF _Toc8 h 8 HYPERLINK l _Toc9 波形仿真 PAGEREF _Toc9 h 9 HYPERLINK l _Toc0 总结与致谢 PAGEREF _Toc0 h

3、13 HYPERLINK l _Toc1 参考文献 PAGEREF _Toc1 h 14 HYPERLINK l _Toc2 附录 PAGEREF _Toc2 h 15摘 要电梯作为垂直方向的交通工具,在高层建筑和公共场所已成为不可或缺的设备。中国是全球最大的电梯市场,也具有最强的电梯生产能力,但由于缺乏自主知识产权和核心技术,自主品牌占市场的份额很少。因此要加大对电梯技术的创新和发展,提升电梯的性能,就需要引进更好的技术,电梯控制器就是很好的装置,大力开发控制器是很必要的。 电梯的微机化控制主要有以下几种形式:PLC控制;单片机控制;单板机控制;单微机控制;多微机控制;人工智能控制。本次课程

4、设计采用了EDA技术进行操作EDA技术打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、设计效率与产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。本设计采用Verilog HDL语言的编程技术,源程序Altera公司的Quartus II软件仿真。关键字:EDA技术; Verilog HDL语言; Quartus II软件正文1设计目的及要求1、进一步巩固理论知识,培养所学理论知识的在实际中的应用能力;掌握EDA设计的一般方法;熟悉一种EDA软件,掌握一般EDA系统的调试方法;利用EDA软件设计一个电子技术综合问题,培养Verilog HDL编程、书写技术报告的能力。为以后进

5、行工程实际问题的研究打下设计基础。2、设计一个6层电梯控制器电路,用数码管显示电梯所在楼层号,电梯初始状态为第一楼层;每楼层电梯外都有上、下楼请求开关,电梯内设有乘客到达楼层的请求开关、电梯所处楼层、上升模式及下降模式的指示;电梯每2秒升降一层,到达有停站请求的楼层后,经4秒电梯门打开,开门指示灯亮,开门4秒后,指示灯灭,关门,关门时间3秒,电梯继续运行。可以通过快速关门和关门中断信号控制;能记忆电梯内外的请求信号,当电梯处于上升模式时,只响应比电梯所在位置高的楼请求信号,直到最后一个上楼请求执行完毕,再进入下降模式。2设计原理 设计实现原理根据系统设计要求,并考虑到系统的可检验性,整个系统的

6、输入输出接口设计如图1所示:系统工作用2Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。 DTKZQCLKIN DTKZQCLKIN LAMPUPIN DOWNINST_CH1 RU_WAITCLOSE ST_OUTDELAY DIRECTRUN_STOP图 1. 电梯控制器DTKZQ的输入输出接口图各输入端口的功

7、能如下:CLKIN:基准时钟信号,为系统提供2Hz的时钟脉冲,上升沿有效;UPIN:电梯上升请求键。由用户向电梯控制器发出上升请求。高电平有效;DOWNIN:电梯下降请求键,由用户向电梯控制器发出下降请求。高电平有效;ST_CH2.0:楼层选择键入键,结合DIRECT完成楼层选择的键入,高电平有效;CLOSE:提前关门输入键。可实现无等待时间的提前关门操作,高电平有效;DELAY:延迟关门输入键。可实现有等待时间的延迟关门操作,高电平有效;RUN_STOP:电梯运行或停止开关键。可实现由管理员控制电梯的运行或停止,高电平有效。一下为输出端口:LAMP:电梯运行或等待指示键,指示电梯的运行或等待

8、状况。高电平有效;RUN_WAIT:电梯运行或等待时间指示键,指示电梯运行状况或等待时间的长短,高电平有效;ST_OUT:电梯所在楼层指示数码管,只是电梯当前所在的楼层数。即16层,高电平有效;DIRECT:楼层选择指示数码管,指示用户所要选择的楼层数,高电平有效。项目分块及其实现方案电梯的控制状态包括运行状态停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,乘客可通过键入开、关门按键,呼唤按键,指定楼层按钮等来控制电梯的行与停。据此,整个电梯控制器DTLZQ应包括如下几个组成部分:时序输出及楼选计数器;电梯服务请求处理器;电梯升降控制器;上升及下降寄存器;电梯次态

9、生成器。该电梯控制器设计的关键是确定上升及下降寄存器的置位与复位。整个系统的内部组成结构图2所示。电梯服务请求处理器电梯服务请求处理器时序输出及楼选计数器(DIR)DRECTCLKINUOINDOWNINST_CH电梯升降 控制器(LIFTOR)DELAY电梯次态生成器(LADD)上升请求寄存器(UR)下降请求寄存器(DR)CLOSERUN_STOPLAMPRUN_WAITST_OUT图2. 电梯控制器的内部组成结构图时钟CLK时钟CLK请求信号输入模块楼层请求超 载超 载关门中断提前关门清楚报警控制模块 输出显示模块请求信号显示运行状态显示所在楼层显示门控制信号超载报警 图3. 电梯控制器系

10、统框图电梯控制器的流程图初始化外部按键初始化外部按键否请求信号寄存器否请求信号寄存器是否有请求?楼层检测电梯运行等待判定电梯运行方向是否有请求?楼层检测电梯运行等待判定电梯运行方向是目标层与本层是否同层?状态寄存器是目标层与本层是否同层?状态寄存器是内部软件执行机构是内部软件执行机构否否外部硬件执行机构外部硬件执行机构图4 总流程图是否目标层?否是否目标层?否是是电梯停止电梯停止开门开门关门关门否否是否停止运行?是否停止运行?是是停止停止 图5 电梯控制主流程图3设计内容基本状态设计1、采用信号并置处理很多输入信号的方式:always (call_up_1 or call_up_2 or ca

11、ll_up_3 or call_up_4 or call_up_5) up_all=1b0, call_up_5, call_up_4, call_up_3, call_up_2, call_up_1; WAITUPWAITUPUPSTOPDOWNOPENDOORDOWNSTOPCLOSEDOOR黑线:WAIT TO UP TO UPSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT粉红线:WAIT TO DOWN TO DOWNSTOP TO OPENDOOR TO CLOSEDOOR TO WAIT 模块设计1、电梯主控制器模块elevator_controller

12、:此段智能电梯控制器由三个重要部分组成的。(a)信号并置部分,完成对5路向上请求、5路向下请求、6路内部请求的信号并置,化繁为简。(b)三段式有限状态机部分。在有请求的情况下,电梯控制器还要根据电梯的当前状态和当前的楼层去判断电梯的下一步该如何运作。(c)计数器部分。完成电梯的开门、关门的时间管理。 图7.电梯主控模块2、分频模块frequence_div:这段分频器完成对50Mhz的1分频操作。采用传统的“一半就翻转”的计数技巧。 图8.分频模块3、数码管译码模块波形仿真1、当电梯处于初始状态时,电梯在高层有向下的请求时:图92、当电梯停在6楼处于等待状态时,在1楼和2楼同时有向上的请求时:

13、图103、当电梯停在1楼处于等待状态时,在6楼和5楼同时有向下的请求时:图114、当电梯停在6楼时,有在5楼的向下的请求时,电梯应该先到五楼,电梯内部请求到1楼,如果电梯在下降的过程中,有在2楼的向上的请求时,电梯应该先相应内部请求,然后相应外部请求:图125、电梯的强制运行按钮forbid:图13总结与致谢通过本次课程设计进一步熟悉Quartus II软件的使用和操作方法,以及硬件实现时的下载方法与运行方法;对Verilog HDL语言的自顶向下设计方法有了进一步的认识,对其中的许多语句也有了新了解,掌握;对自己独立思考和解决问题的能力也有了很大的锻炼。短暂的课程设计就要结束了,在此,感谢所

14、有在学习和生活中给予我关心和帮助的人们。首先我要感谢杨红娟、王涛两位位老师,本次课程设计设计的选题、课题调研与撰写工作实在和老师的指导下完成的。和老师渊博的知识、严谨的治学态度、一丝不苟的工作作风、和不厌其烦的精神对我影响至深,使我受益终生,在此我向和老师表示崇高的敬意和衷心的感谢。其次,在我的课程设计期间,同课题的同学都给予了我热情的帮助和无私的指导,在此向这些同学们表示衷心的感谢。最后,向所有曾给予我关心和帮助的老师和同学们再次致以最衷心的感谢,向参加报告评审、答辩的老师表示衷心的感谢和崇高的敬意。参考文献1 黄仁欣EDA技术实用教程北京:清华大学出版社,20062 潘松,黄继业EDA技术

15、与VHDL北京:清华大学出版社,20093 江国强编著EDA技术与应用(第三版).北京:电子工业出版社,20104 夏宇闻编著Verilog HDL数字系统设计教程.北京:北京航空航天大学出版社,20085 周祖成,程晓军,马卓钊编著数字电路与系统教学实验教程北京:科学出版社,20106 周润景,苏良碧基于Quartus II 的数字系统Verilog HDL设计实例详解北京:电子工业出版社,20107 (美国)Sanir Palnitkar 译者:夏宇闻 胡燕祥 刁岚松Verilog HDL数字设计与综合(第2版)北京:电子工业出版社,20098 云创工作室Verilog HDL程序设计与实

16、践北京:人民邮电出版社,20099 刘福奇,刘波Verilog HDL应用程序设计实例精讲北京:电子工业出版社,200910 张延伟,杨金岩,葛爱学verilog hdl程序设计实例详解北京:人民邮电出版社,2008附录1、分频模块module frequence_div(cp_50M,cp_1); input cp_50M;. display_decode h1(cp_50M,PosOut,out,over_alarm); display_decode_count h2(cp_50M,count,count_out); / finite state machine in the style

17、of three segments ,三段式/1st StateShift always block,sequential state transition,有限状态机第一段 always (posedge real_clk or posedge reset) if(reset) begin LiftState=WAIT; end else LiftState0)/有停靠请求否 begin if(request_all&pos)0)/停靠请求中有当前楼层停靠请求否 NextState=OPENDOOR;/有当前楼层请求,则下一状态转开门 else if(request_allpos)/有当前楼

18、层之上的停靠请求否(优先向上的请求) NextState=UP;/有则,下一状态转上升 else NextState=DOWN;/只有当前楼层之下的停靠请求,下一状态转下降 end else if(up_all&pos)|(down_all&pos)/上下请求中有当前楼层请求否 begin NextState=OPENDOOR; end else if(up_allpos)|(down_allpos)/上下请求中有当前楼层之上的请求否 NextState=UP; else if(up_all|down_all)/上下请求中有当前楼层之下的请求否 NextState=DOWN; else Nex

19、tState=WAIT;/无任何请求,继续处于WAIT模式 end UP: begin if(request_all&pos)|(up_all&pos)/停靠或上升请求中有当前楼层的请求否 NextState=UPSTOP;/有,下一状态转为UPSTOP(停靠后要1s才开门,UPSTOP即为这1s的过渡期) else if(request_allpos)|(up_allpos)/停靠或上升请求中有当前楼层之上的请求否 NextState=UP; else if(down_all0)/有下降请求否 begin if(down_allpos)&(down_allpos)pos)/下降请求中有当前楼

20、层的请求否 NextState=UP; else if(down_all&pos)|(posFLOOR6) /其实这样做意义不大,只是使上下标志提前变化 NextState=UPSTOP; else if(down_all&pos)&(pos=FLOOR6)/事实上不满足第一条件的话,电梯根本不会到达第四层 NextState=DOWNSTOP; else/下降请求中只有当前楼层之下的请求 NextState=DOWN; end else if(request_all|up_all)/只有当前楼层之上的停靠或上升请求否 NextState=DOWN; else NextState=WAIT;/

21、无任何请求,转为WAIT模式 end/DOWN的状态转移请参见UP的状态转移 DOWN: begin if(request_all&pos)|(down_all&pos) NextState=DOWNSTOP; else if(request_all&FLOOR1)pos&(request_all&FLOOR1)|(request_all&FLOOR2)pos&(request_all&FLOOR2)|(request_all&FLOOR3)pos&(request_all&FLOOR3)|(request_all&FLOOR4)pos&(request_all&FLOOR4)|(reques

22、t_all&FLOOR5)pos&(request_all&FLOOR5)|(request_all&FLOOR6)pos&(request_all&FLOOR6) NextState=DOWN; else if(down_all&FLOOR1)pos&(down_all&FLOOR1)|(down_all&FLOOR2)pos&(down_all&FLOOR2)|(down_all&FLOOR3)pos&(down_all&FLOOR3)|(down_all&FLOOR4)pos&(down_all&FLOOR4)|(down_all&FLOOR5)pos&(down_all&FLOOR5)

23、|(down_all&FLOOR6)0) begin if(up_all&FLOOR1)pos&(up_all&FLOOR1)|(up_all&FLOOR2)pos&(up_all&FLOOR2)|(up_all&FLOOR3)pos&(up_all&FLOOR3)|(up_all&FLOOR4)pos&(up_all&FLOOR4)|(up_all&FLOOR5)pos&(up_all&FLOOR5)|(up_all&FLOOR6)FLOOR1) NextState=DOWNSTOP; else if(up_all&pos)&(pos=FLOOR1) NextState=UPSTOP; el

24、se NextState=UP; end else if(request_all|down_all) NextState=UP; else NextState=WAIT; end UPSTOP: begin NextState=OPENDOOR;/停靠1时钟周期后开门 end DOWNSTOP: begin NextState=OPENDOOR;/停靠1时钟周期后开门 end OPENDOOR: begin if(countpos)|(up_allpos)/上升或停靠请求中有当前楼层之上的请求否 NextState=UP; else if(down_all0)/有下降请求否 begin if(

25、down_allpos)&(down_allpos)pos) NextState=UP;/有当前楼层之上的下降请求,则下一状态转移上升 else if(down_all&pos)0)/有当前楼层的下降请求信号,且更上层无下降请求 NextState=OPENDOOR; else/只有低于当前层的下降请求 NextState=DOWN; end else if(request_all|up_all)/上升和停靠请求中有当前层的请求且只有当前层下的请求 NextState=DOWN; else NextState=WAIT;/无任何请求,转为WAIT模式 end/请参考UpDnFlag=UPFLA

26、G的注释 else if(UpDnFlag=DNFLAG) begin if(request_all&pos)|(down_all&pos) NextState=OPENDOOR; else if(request_all&FLOOR1)pos&(request_all&FLOOR1)|(request_all&FLOOR2)pos&(request_all&FLOOR2)|(request_all&FLOOR3)pos&(request_all&FLOOR3)|(request_all&FLOOR4)pos&(request_all&FLOOR4)|(request_all&FLOOR5)po

27、s&(request_all&FLOOR5)|(request_all&FLOOR6)pos&(request_all&FLOOR6) NextState=DOWN; else if(down_all&FLOOR1)pos&(down_all&FLOOR1)|(down_all&FLOOR2)pos&(down_all&FLOOR2)|(down_all&FLOOR3)pos&(down_all&FLOOR3)|(down_all&FLOOR4)pos&(down_all&FLOOR4)|(down_all&FLOOR5)pos&(down_all&FLOOR5)|(down_all&FLOO

28、R6)0) begin if(up_all&FLOOR1)pos&(up_all&FLOOR1)|(up_all&FLOOR2)pos&(up_all&FLOOR2)|(up_all&FLOOR3)pos&(up_all&FLOOR3)|(up_all&FLOOR4)pos&(up_all&FLOOR4)|(up_all&FLOOR5)pos&(up_all&FLOOR5)|(up_all&FLOOR6)0) NextState=OPENDOOR; else NextState=UP;/ end else if(request_all|down_all) NextState=UP; else

29、NextState=WAIT;/ end/请参考WAIT模式的注释 else begin if(request_all0) begin if(request_all&pos)0) NextState=OPENDOOR; else if(request_allpos) NextState=UP; else NextState=DOWN; end else if(up_all&pos)|(down_all&pos) begin NextState=OPENDOOR; end else if(up_allpos)|(down_allpos) NextState=UP; else if(up_all|

30、down_all) NextState=DOWN; else begin NextState=WAIT; end end end default: NextState=WAIT; endcase /3rd StateShift always block,the sequential FSM output,有限状态机第三段 always (posedge real_clk or posedge reset)/output if(reset)/复位后初始化当前楼层为第一层,门是关闭的,电梯是静止的 begin pos=FLOOR1; DoorFlag=CLOSED; UpDnFlag=STATIC; end else begin PosOut=pos;/PosOut的

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论