集成电路与EDA技术的发展课件_第1页
集成电路与EDA技术的发展课件_第2页
集成电路与EDA技术的发展课件_第3页
集成电路与EDA技术的发展课件_第4页
集成电路与EDA技术的发展课件_第5页
已阅读5页,还剩185页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

集成电路与EDA技术的发展南工电子与电气工程系徐源集成电路与EDA技术的发展南工电子与电气工程系1社会发展的两大趋势:

社会信息化;经济全球化。我国的政治主张:

和平与发展。美国的主张:

集成电路等高技术领域要保持超过中国二十年的优势。

Intel公司总裁贝瑞特曾说:“美国只占世界人口的4%,我要征服另外96%的人心。”社会发展的两大趋势:我国的政治主张:美国的主张:2农业经济工业经济知识经济基本资源土地矿产知识(信息)标志产品粮棉、畜产汽车、电器芯片、软件、知识能源消耗低高低发展速度低较高更高环境影响较好差好整体速度低较高更高知识经济的特征农业经济工业经济知识经济基本资源土地矿产知识3国家为什么要大力发展集成电路?社会发展的需要:集成电路是最能体现知识经济特征的典型产品之一。经济发展的需要:现代经济发展的数据表明,GDP每增长100元,需要10元左右电子工业产值和1~2元集成电路产值的支持。到2010年,集成电路全行业销售额将达到1万亿美元,它将支持6~8万亿美元的电子装备和30万亿美元的电子信息服务业,后者相当于1997年全世界GDP的总和。目前发达国家信息产业产值已占国民经济总产值的40%~60%,国民经济总产值增长部分的65%与集成电路有关。

国家安全的需要:集成电路是信息化的基础,芯片的供应和芯片的安全性问题。国家为什么要大力发展集成电路?社会发展的需要:集成电路是最能4预计到2005年,全国集成电路产量要达到200亿块,销售额达到600~800亿元,约占当时世界市场份额的2%~3%,满足国内市30%的需求。到2010年,全国集成电路产量要达到500亿块,销售额达到2000亿元左右,占当时世界市场份额的5%,满足国内市场50%的需求。硅集成电路的发展趋势有集成度提高、圆片直径增大、特征尺寸减少、功耗增大、互连线层数增多等。目前,以0.25微米CMOS技术为主流的微电子技术已经进入大生产阶段,芯片的集成度达到108-109量级。预计到2006年,单片系统集成芯片将达到如下指标:最小特征尺寸为0.1微米、芯片集成度达2亿个晶体管、芯片面积为520平方毫米、7~9层金属连线、管脚数为4000个、工作电压为0.9-1.2V、工作频率2-2.5GHz,功耗160瓦。到2010年,特征尺寸为0.07微米的64GbDRAM产品将投人批量生产。

预计到2005年,全国集成电路产量要达到205政府的策略:《中共中央国务院关于加强技术创新,发展高科技,实现产业化的决定》指出:“突出高新技术产业领域的自主创新,培育新的经济增长点,在电子信息特别是集成电路设计与制造、网络及通信、计算机及软件、数字化电子产品等方面,……加强高新技术创新,形成一批拥有自主知识产权、具有竞争优势的高新技术产业”。

专家的共识:中国科学院、中国工程院专门成立了包括师昌绪、王淀佐、王越、王阳元等10位院士组成的专家咨询组。在大量调查研究的基础上,专家们建议,我国在“十五”期间要像当年搞“两弹一星”一样,集中国家有限的人力和财力,开发有自主知识产权的新一代微电子核心工艺技术及产品。专家的意见和政府的策略政府的策略:《中共中央国务院关于加强技术创新,发展高科技,实6党的十五届五中全会明确指出,信息化是我国产业优化升级和实现工业化、现代化的关键环节,要把推进国民经济和社会信息化放在优先位置。大力推进国民经济和社会信息化,是覆盖现代化建设全局的战略举措。要以信息化带动工业化,发挥后发优势,实现社会生产力的跨越式发展。

信息产业作为国民经济的基础产业、先导产业、支柱产业和战略性产业,对国民经济、国家安全、人民生活和社会进步正在发挥着越来越重要的作用。

当今世界,以信息技术为核心的高新技术的发展,极大地改变了人们的生产、生活方式和国际经济、政治关系,同时也有力地促进了世界新军事变革的发展。信息化是当代科技革命、社会变革最重要的推动因素。江泽民强调指出:要坚持以信息化带动机械化,以机械化促进信息化,实现机械化、信息化建设的复合式发展,完成机械化、信息化建设的双重历史任务。朱鎔基总理在政府工作报告中强调:要积极发展对经济增长有重大带动作用的高新技术产业。大力推进信息化,用信息化带动工业化。广泛采用先进适用技术改造传统产业,努力振兴装备制造业。党的十五届五中全会明确指出,信息化是我国产业优化升级71999年8月20日《中共中央国务院关于加强技术创新,发展高科技,实现产业化的决定》中,集成电路设计与制造被放在电子信息领域高技术创新的第一位。鼓励软件产业和集成电路产业发展的若干政策(2000.6.24)

上海市人民政府批转人事局关于本市进一步做好吸引微电子紧缺人才工作意见的通知(2000.9.8)《信息产业”十五”计划纲要》(2001.5)

集成电路“十五”专项规划思路(2001.9)集成电路布图设计保护条例(2001.10.1)上海市海外留学人员来沪创办软件和集成电路设计企业创业资助专项资金管理暂行办法(2002.6.1)集成电路设计企业及产品认定实施细则(2001.7.21)

十五期间,国家支持的北京、上海、杭州、无锡、西安、成都、深圳7个国家级集成电路设计产业化基地已经建成。

国家为发展集成电路采取了哪些政策措施?1999年8月20日《中共中央国务院关于加强技术创新,发展高8目前基地企业已达58家。设计企业20家目前基地企业已达58家。设计企业20家9入住企业28家,加盟企业44家。入住企业28家,加盟企业44家。10集成电路与EDA技术的发展课件11集成电路与EDA技术的发展课件12我国集成电路发展遇到的障碍:资金、技术、人才两大“瓶颈”:一个是集成电路设计缺乏专利,二是集成电路制造缺乏设备。资金:让出市场,引进外资;技术:引进生产线,技术本地化;人才:引进、培养。出路:中国要成为半导体大国出路,最保险的捷径就是重点发展芯片设计,设计人才严重匮乏成为最大的“瓶颈”。我国集成电路发展遇到的障碍:两大“瓶颈”:一个是集成电路设计13中国集成电路设计公司状况分布:上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。规模:平均每个公司有6个产品系列,44%的公司产品系列在5个以下,20个以上占10%。水平:最大设计规模为200万门。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。

中国集成电路设计公司状况分布:上海、无锡和杭州三地占40%,14集成电路与EDA技术的发展课件15集成电路与EDA技术的发展课件16集成电路与EDA技术的发展课件17集成电路与EDA技术的发展课件18FPGA设计规模:50%的设计在10000到80000门之间,低于10000的设计占34%。FPGA设计规模:50%的设计在10000到80000门之间19大约1/3的FPGA设计在100MHZ以上,大约1/3的FPGA设计在100MHZ以上,20当前设计反复次数为3到4次:当前设计反复次数为3到4次:21EDA工具:布局,时序分析和逻辑综合是前三个主要的设计自动化领域。EDA工具:布局,时序分析和逻辑综合是前三个主要的设计自动化22集成电路与EDA技术的发展课件23集成电路与EDA技术的发展课件24首次认定的集成电路设计企业◆厦门联创微电子股份有限公司◆重庆西南集成电路设计有限公司◆杭州士兰微电子股份有限公司◆中国华大集成电路设计中心◆北京华虹NEC集成电路设计有限公司◆南京微盟电子有限公司◆成都华微电子系统有限公司◆深圳市国微电子股份有限公司◆无锡华晶矽科微电子有限公司◆大唐微电子技术有限公司◆无锡市华方微电子有限公司

首次认定的集成电路设计企业◆厦门联创微电子股份有限公司25第二批认定的集成电路设计企业◆北京微辰信息公司◆无锡爱芯科微电子公司◆西安亚同集成电路技术公司◆杭州国芯科技公司◆华科微电子(深圳)有限公司◆北京六合万通微电子公司◆深圳中兴集成电路设计公司◆

北京华虹集成电路设计公司

◆北京希格玛晶华微电子公司◆北京中星微电子公司◆西安深亚电子有限公司◆杭州友旺有限公司◆西安联圣科技有限公司◆北京火马微电子技术公司◆北京东世半导体技术公司◆苏州市华芯微电子有限公司

第二批认定的集成电路设计企业◆北京微辰信息公司◆北京希26第三批认定的集成电路设计企业◆深圳艾科创新微电子有限公司◆苏州国芯科技有限公司◆深圳市爱思科微电子有限公司◆珠海炬力集成电路设计有限公司◆四川绵阳凯路微电子有限公司◆江苏意源微电子技术有限公司第三批认定的集成电路设计企业◆深圳艾科创新微电子有限公司27第四批认定的集成电路设计企业◆武汉昊昱微电子有限公司◆武汉亚芯微电子有限公司◆无锡亿晶电子有限公司◆无锡力芯微电子有限公司◆无锡硅科动力技术有限公司◆美新半导体(无锡)有限公司◆绍兴科强半导体有限公司◆北京多思科技工业园股份有限公司◆北京凯赛德航天系统集成设计有限公司◆三菱四通集成电路设计中心有限公司◆陕西美欧电信技术有限公司◆西安中芯微电子技术有限公司◆科广电子(珠海)有限公司第四批认定的集成电路设计企业◆武汉昊昱微电子有限公司◆28“十五”第二批国家自然科学基金重大项目申请指南先进电子制造中的重要科学技术问题研究电子信息产业是关系国家利益和安全的基础性和战略性产业,成为世界电子制造强国是我国二十一世纪发展的战略目标,实现这一目标的关键是必须能自主提供电子产业的先进制造工艺、技术和装备。本项研究旨在从前瞻性基础研究入手,选择IC后封装装备和硬盘驱动器制造面临的重大关键技术为背景,选择有条件可能突破的四个重要科学问题开展研究。揭示电子制造领域的新现象、新规律;提出新理论、新方法和新技术,初步建立面向下一代电子制造的理论体系,争取在国际电子制造理论领域占有一席之地;造就一批从事该领域前沿科学研究的具有创新思想的高科技人才;为我国电子制造业拥有自主知识产权的新装备和新工艺、实现跨越式发展提供技术基础。研究期限:4年拟资助经费:国家自然科学基金委800万,上海市科委800万“十五”第二批国家自然科学基金重大项目申请指南29十一、超高密度、高速光-磁混合数字信息储存研究研究期限:4

拟资助经费:800万元十三、未来移动通信系统基础理论与技术研究研究期限:4年

拟资助经费:700万

十一、超高密度、高速光-磁混合数字信息储存研究30《国家重点基础研究发展规划》(973计划)新一代化合物半导体电子器件与电路研究依托部门:中国科学院

首席科学家:钱鹤

中国科学院微电子中心

起止年限:2002-2007系统芯片中新器件新工艺的基础研究依托部门:教育部

首席科学家:张

北京大学

起止年限:2000-2005新型超高密度、超快速光信息存储与处理的基础研究依托部门:教育部

首席科学家:徐端颐

清华大学

起止年限:1999-2004《国家重点基础研究发展规划》(973计划)新一代化合物半导体31国家自然科学基金重大研究计划

《半导体集成化芯片系统基础研究》2002年项目申请指南科学目标

“半导体集成化芯片系统基础研究”是国家自然科学基金委员会组织实施的重大科学研究计划。其宗旨在于:以超过当前国际微电子生产水平2至3代的芯片系统(SOC:systemonchip)需要解决的重要科学问题为研究对象,开展广泛、深入的基础研究,为我国2005年至2010年及其后的微电子科技与IC产业发展提供解决关键问题的科学方法,从而促进我国电子信息工业高速、持续地发展。“半导体集成化芯片系统基础研究”反映了微电子领域在本世纪最现实、最迫切的发展方向,即由集成电路(IC)向集成系统(IS)方向的转变。总经费为4000万元国家自然科学基金重大研究计划

《半导体集成化芯片系统基础研究32清华大学电子系统与专用集成电路技术研究中心MOS多项目服务东南大学东南大学射频与光电集成电路研究所MOS多项目服务MCPS北京大学北京大学微电子研究所西安交通大学西安交通大学微电子研究所合肥工业大学合肥工业大学微电子设计研究所教育部IC设计网上合作研究中心清华大学电子系统与专33国内IC产业发展形势到2001年12月29日,科技部先后批准了上海、西安、无锡、北京、成都、杭州、深圳等7个国家级芯片设计产业基地。广州、青岛、天津、成都、苏州、珠海等地也纷纷上马芯片厂,而且投资额、规模一个比一个大。我国集成电路产业已经形成了以上海为主的长江三角洲、深圳为主的珠江三角洲地区和北京为主的京津塘地区三个集成电路企业聚集区。2000年底,据统计全国芯片设计企业将近100家,但到2002年上半年,这个数字已经翻了一番,达到约200家。技术水准也实现了质的突破,从0.35-0.5微米上升到0.25-0.18微米,甚至0.13微米的技术产品也有人在开发,已形成包括芯片设计、芯片制造、封装测试、设备制造、配套服务在内的完整半导体产业链和创新链。2000--2001年对集成电路产业的投资将近400亿元,是过去30年投资总和的2倍以上。2002年投资超过200亿元。国内IC产业发展形势到2001年12月29日,科技部先后批准34上海的集成电路协会有220个会员,其中外国独资公司70个,集成电路设计公司有70家,芯片制造商7家,总投资93亿美元,创造了全国50%以上集成电路产业的产值。

张江高科技园区力争在10年内,引进20条芯片生产线,150家芯片设计企业,30家光掩膜、封装测试企业,园区整个集成电路产业产值将达到上百亿美元。上海的集成电路协会有220个会员,其中外国独资公司70个,集35世界上第一块SPARC

V8系列专门应用于嵌入式实时领域的32位S698处理器芯片近日在珠海国家软件产业基地留学生创业园欧比特(珠海)软件工程有限公司一次性流片成功,并通过运行测试。它由中国人自己设计研制,这款内嵌64位浮点运算器是世界第一个登上SPARC

V8系列嵌入式处理器芯片领域制高点的高端产品,芯片主频达133MHZ,支持Linux、RTEMS、ORION等操作系统,具有流水线处理结构,集成度高等特点。

上个世纪80年代以来,嵌入式处理器芯片技术从美国Intel公司的X86、Motorola公司的68K两大系列分割天下,到近年来法国TEMIC公司SPARC

V7系列的成功超越,各国精英都在奋力抢占嵌入式处理器技术的制高点。

据悉,S698是继国内“方舟”、“龙芯”、“众志”处理器芯片之后,又一具有高技术档次和自主知识产权的“中国芯”家族新成员,它的设计研制和运行测试成功,标志着世界嵌入式芯片技术在实际工程应用方面取得了重大突破。世界上第一块SPARC

V8系列专门应用于嵌入式实时领域的336王志功说:

“目前制造工艺每年增长58%,设计能力每年只提高21%。这样下去落差会越来越大”。台湾省拥有的集成电路专业人员多达2万,中国内地到2002年却只有1.5万人,1999年只有2000人,且大多集中于半导体领域,占75%,高层次的系统设计人才只有2000多人。而发达国家与地区的人才结构正好倒了过来——半导体占25%,其余75%是高层次的系统设计人才。根据我国集成电路产业的发展规划,“十五”期间我国需要IC设计人才15万,巨大的人才缺口已经成为制约国内芯片产业的瓶颈。现在一个刚毕业的本科生去当设计师,年薪可达到8万元。“国外回来的高水准IC设计人员,年薪120万元我也要。”南京斯威特集团董事长严晓群说。

专家对IC设计人才需求的看法王志功说:“目前制造工艺每年增长58%,设计能力每年只提高37IC设计师--未来10年最有前景的IT专业

上海预计到2005年有20条生产线,2010年增加到30条生产线,到2008年预计需要28万IC设计人才。而上海市集成电路行业协会统计显示:1998-2002五年间,上海微电子专业培养的IC人才累计不过1470人。根据北京市发展微电子产业的建设规划,到2010年,北京市要逐步建成20条左右大规模高水平的芯片生产线,200家高水平的IC卡专业设计公司。据预测,北京市微电子产业将超过2000亿元人民币。美国IC设计人员40多万。IC设计师--未来10年最有前景的IT专业上海预计到20038集成电路发展趁势:目前仍以摩尔定律所揭示的规律向前发展,晶圆的面积也在不断地加大,以软/硬件协同设计、具有知识产权的内核(IP核)复用和超深亚微米技术为支撑的系统芯片(SystemonChip-SOC)是超大规模集成电路发展的趋势和新世纪集成电路的主流。

IC产业技术发展经历了电路集成、功能集成、技术集成,直到今天基于计算机软硬件的知识集成,其目标就是将电子产品系统电路不断集成到芯片中去,力图吞噬整个产品系统。单芯片的嵌入式系统的出现,以单个芯片实现的产品系统不仅仅限于硬件系统,而是一个带有柔性性能的软、硬件集合体的电子系统。SoC是微电子领域IC设计的最终目标

集成电路发展趁势:目前仍以摩尔定律所揭示的规律向前发展,晶圆39多学科融合与渗透多学科融合与渗透40不同时代的设计方法学不同时代的设计方法学41SOC设计方法学SOC设计方法学42集成电路与EDA技术的发展课件43传统的ASIC设计与深亚微米集成电路设计流程比较传统的ASIC设计与深亚微米集成电路设计流程比较44发展SOC面临的主要问题设计复用。是一个关键问题。接口问题。时序收敛问题。互连线延迟越来越突出。设计验证,最大的挑战,70%的工作量。价格。工艺兼容性问题。也是一个关键问题。过细分工带来的问题。设计语言问题。低功耗问题。发展SOC面临的主要问题设计复用。是一个关键问题。45核的分类与定义SoC由各种片上功能的嵌入式核组合而成。软核

是用可综合的RTL描述或者通用库元件的网表形式表示的可复用模块。用户须负责实际的实现和版图。固核

是指在结构和拓扑针对性能和面积通过版图规划,甚至可用某种工艺技术进行优化的可复用模块。它们以综合好的代码或通过库元件的网表形式存在。硬核

是指在性能、功率和面积上经过优化并映射到特定工艺技术的可复用模块。它们以完整的布局布线的网表和诸如GDSII(一种版图数据文件格式)格式的固定版图形式存在。核的分类与定义SoC由各种片上功能的嵌入式核组合而成。软核46软核固核软核可复用性可移植性灵活性较高的可预言性和性能,短的上市时间,较高的价格及IP提供商的工作量软核固核软核可复用性较高的可预言性和性能,短的上市时间,47特定功能核B特定功能核A特定功能核CA/D,D/APCITAPPLL胶联逻辑存储器微处理器核存储器存储器基于嵌入式核的SoC的一般结构存储器特定功能核B特定功能核A特定功能核CA/D,D/APCITA48系统说明文档高层次算法模型软/硬件划分和任务分配划分模型调度模型通信模型软/硬件接口定义行为模型划分RTL综合硬件-软件协同仿真/检验创建住址模型,分析与确认软件设计要求用例分析子系统设计范例设计结构设计用例设计一般的软硬件设计方法学系统说明文档高层次算法模型软/硬件划分和任务分配划分模型调度49定义核的设计要求(功能、接口、时序)开发行为模型并验证划分为子模块子模块的功能要求子模块RTL综合插入可测性设计子模块集成约束条件面积功耗速度子模块测试平台满足RTL代码故障覆盖率的测试软核和固核的基于RTL综合的设计流程定义核的设计要求开发行为模型并验证划分为子模块子模块的功能要50SoC设计中的问题移值方法学

无网表核与版图相关的步长宽长比例失配手绘版图时序问题

时钟重分配硬核宽度与间距不一致芯片多重布线导致的RC寄生效应时序重验证电路时序工艺与原始材料问题

非工业标准工艺特性N阱衬底的连接衬底原始材料端口与目标工艺的层间差异其它问题

混合信号设计不可移值模拟电路的精度功耗问题SoC设计中的问题移值方法学时序问题工艺与原始材料问题其它问51特征尺寸与芯片内部工作频率

特征尺寸与芯片内部工作频率52语言并发通信时序接口附注VHDLOK不足极好文本IEEE标准SDLOK极好不足文本/图形ITU标准JAVA极好极好不足————C,C++N/AN/AN/A文本——SpecChart极好OK极好————StateChart极好不足OK图形——PetriNet极好不足极好图形——Esterel不足不足极好文本——系统设计说明的描述语言语言并发通信时序接口附注VHDLOK不足极好文本IEEE标准53数字电路设计工具

分类

产品名制造商逻辑综合器、静态时序分析

BlastRTL美国MAGMA公司VHDL/Verilog-HDLSimulator(仿真工具)

Active-HDL美国Aldec公司混合语言仿真

NC-sim美国CadenceDesignSystems公司Verilog仿真器

Verilog-XLSystemC仿真器NC-SystemCVHDL仿真器

NC-VHDL物理综合工具PKS超级综合工具(带有最优化配置功能)

BuildGatesExtremeVerilog仿真/VHDL编译器VCS/Scirocco美国Synopsys公司RTL级逻辑综合工具DCexpertVhdl/Verilog混合语法和设计规范检查器

LEDA数字电路设计工具分类产品名制造商逻辑综合器、静态时序分析54数字电路设计工具(续)

分类

产品名制造商FPGA综合器

SynplifyPRO美国Synplicity公司物理综合Amplify测试与原型验证

CertifySCVHDL/Verilog-HDL仿真工具ModelSim美国MentorGraphics公司Verilog-HDL仿真工具TauSim美国TauSimulation公司HardwareAcceleratorARES美国IKOSSystems公司StaticTimming解析工具

EinsTimer美国IBM公司逻辑Simulator(仿真)

Explore美国Aptix公司Xcite美国AxisSystems公司VirtuaLogic美国IKOSSystems公司VIVACE美国MentorGraphics公司功耗解析/最优化工具(RTL)WattSmith美国Sente公司逻辑验证工具(测试向量生成)SpecmanElite美国VerisityDesign公司数字电路设计工具(续)分类产品名制造商FPGA综合器S55数字电路设计工具(续)

分类

产品名制造商CODE・COVERAGE工具,状態COVERAGE工具

VerificationNavigator/StateNavigator美国TransEDA公司Formal・Verifier(等价性评价)

BoolesEye美国IBM公司Tuxedo美国VerplexSystems公司HDL调试工具Debussy美国NovasSoftware公司电路合成工具,行为级合成工具(VHDL编程)

BooleDozer美国IBM公司HighLevel电路合成工具

eXplorationsTools美国Explorations公司RTL设计

TeraForm美国TeraSystems公司

数字电路设计工具(续)分类产品名制造商CODE・COVE56模拟/数.模混合信号电路设计工具

分类

产品名制造商模拟电路Simulator(仿真工具)

T-SpicePro美国TannerResearch公司SmartSpice美国SilvacoInternational公司Eldo美国MentorGraphics公司电路图仿真/物理设计环境

COSMOSSE/LE美国Synopsys公司数字/模拟混合信号仿真

HSPICE/NanoSim混合信号・Simulator(仿真工具)

ICAP/4美国intusoft公司混合信号・Simulator(仿真工具),RF电路Simulator(仿真工具),AnalogMacroLibraryADVance,CommLib美国MentorGraphics公司StaticNoise解析工具(混合信号)

SeismIC美国CadMOSDesignTechnology公司模拟/数.模混合信号电路设计工具分类产品名制造商模拟电57模拟/数.模混合信号电路设计工具(续)

分类

产品名制造商原理图输入

OrcadCaptureCIS,美国CadenceDesignSystems公司ConceptHDLCaptureCIS,原理图仿真

PspiceNCDesktop分类

产品名制造商Hard/Soft协调设计工具

CiertoVCCEnvironment美国CadenceDesignSystems公司ArchGen美国CAEPlus公司eArchitect美国ViewlogicSystems公司Hard/Soft协调验证工具

SeamlessCVE美国MentorGraphics公司Hard/Soft协调设计工具模拟/数.模混合信号电路设计工具(续)分类产品名制造商58LSILayout设计工具分类

产品名制造商寄生电容/阻抗提取工具

DISCOVERY美国SilvacoInternational公司IC版图设计MyChipStationTMV6.4美国MyCAD公司寄生电容/寄生阻抗提取工具,延迟计算工具

SWIM/InterCal美国AspecTechnology公司寄生电容/阻抗提取工具,回路Simulator(仿真工具),Layout变换工具

Spicelink,Ansoftlinks美国Ansoft公司物理版图编辑器Virtuoso-XLLayoutEditor美国CadenceDesignSystems公司交互式物理版图验证工具Diva美国SilvacoInternational公司信号完整性时序分析工具SignalStorm美国MyCAD公司LSILayout设计工具分类产品名制造商寄生电容/阻59LSILayout设计工具(续)分类

产品名制造商ModelGeneratorCLASSIC-SC美国CadabraDesignAutomation公司Layout设计工具(带有电路合成功能)

BlastFusion美国Magma公司Layout设计工具

DOLPHIN美国MontereyDesignSystems公司L-EditPro美国MontereyDesignSystems公司MyChipStation美国TannerResearch公司CELEBRITY,Expert美国MyCAD公司相位ShiftMask设计工具,OPC设计工具,Mask测试工具iN-Phase/TROPiC/CheckIt美国SilvacoInternational公司版图寄生参数提取工具Star-RC美国Avanti公司

逻辑仿真与版图设计

熊猫系统2000中国华大LSILayout设计工具(续)分类产品名制造商Mod60测试工具

分类

产品名制造商Test-Pattern变换工具

TDSiBlidge/SimValidator美国FluenceTechnology公司Test设计工具

TestBench美国IBM公司TDX美国FluenceTechnology公司Test解析工具(混合信号)

TestDesigner美国intusoft公司测试工具分类产品名制造商Test-Pattern61印刷电路版设计工具分类

产品名制造商高速PCB设计与验证

SPECCTRAQuest美国CadenceDesignSystems公司PCB设计用自动配置,配线工具

AllegroSPECCTRAPCB设计

OrcadLayoutPCB用温度解析工具

PCBThermal美国Ansoft公司面向焊接的PCB用温度解析工具

PCBSolderSim美国Ansoft公司PCB用振动・疲劳解析工具

PCBVibrationPlus/PCBFatigue美国Ansoft公司PCB/MCM用寄生电容/阻抗提取工具,回路Simulator(仿真工具)

PCB/MCMSignalIntegrity美国Ansoft公司印刷电路版设计工具分类产品名制造商高速PCB设计与验证S62印刷电路版设计工具(续)分类

产品名制造商封装(Package)设计工具AdvancedPackagingDesigner/Ensemble美国CadenceDesignSystems公司封装(Package)用温度解析工具HybridThermal美国Ansoft公司封装(Package)用寄生电容/寄生阻抗提取工具TurboPackageAnalyzer美国Ansoft公司PCB设计工具ePlanner美国ViewlogicSystems公司印刷电路版设计工具(续)分类产品名制造商封装(Packag63其它工具分类

产品名制造商AC/DC设计・解析工具MotorExpert韓国jasontech公司工艺・Simulator(仿真工具)ATHENA美国SilvacoInternational公司器件・Simulator(仿真工具)ATLAS美国SilvacoInternational公司器件模拟工具工艺模拟工具

Medici,

Davinci,

TSUPREM美国Avanti公司射频与微波设计ADS美国Agilent公司信号处理系统级设计工具SPW4.8美国CadenceDesignSystems公司数字信号处理和通信产品的系统级设计工具Matlab/Simulink美国Mathworks公司(代理:九州恒润)其它工具分类产品名制造商AC/DC设计・解析工具Moto64PLD开发系统分类

产品名制造商可编程逻辑电路开发工具MAXPLUSⅡ美国ALTERA公司可编程逻辑电路(含SOPC)开发工具QUARTUS可编程逻辑电路开发工具ISPexpert/ispLEVERv3.0美国Lattice公司可编程逻辑电路开发工具ISE5.2iFoundation

美国Xinlinx公司可编程逻辑电路开发工具

ActelDesignerR1-2003美国ACTEL公司PLD开发系统分类产品名制造商可编程逻辑电路开发工具MA65集成电路与EDA技术的发展课件66硬件描述语言HDL的现状与发展

硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设计思想,用一系列分层次的模块来表示极其复杂的数字系统。然后,利用电子设计自动化(EDA)工具,逐层进行仿真验证,再把其中需要变为实际电路的模块组合,经过自动综合工具转换到门级电路网表。接下去,再用专用集成电路ASIC或现场可编程门阵列FPGA自动布局布线工具,把网表转换为要实现的具体电路布线结构。

HDL的发展至今已有20多年的历史,并成功地应用于设计的各个阶段:建模、仿真、验证和综合等。到20世纪80年代,已出现了上百种硬件描述语言。20世纪80年代后期,VHDL和VerilogHDL语言适应了面向设计的多领域、多层次并得到普遍认同的标准硬件描述语言趋势和要求,先后成为IEEE标准。

硬件描述语言HDL的现状与发展硬件描述语言HDL是67几种代表性的HDL语言

1.VHDL

早在1980年,因为美国军事工业需要描述电子系统的方法,美国国防部开始进行VHDL的开发。1987年,VHDL成为IEEE标准:IEEEStd1076-1987。应当注意,起初VHDL只是作为系统规范的一个标准,而不是为设计而制定的。增加了一些新的命令和属性。1993年成为:IEEEStd1164-93。

虽然有“VHDL是一个4亿美元的错误”这样的说法,但毕竟是一个国际标准,它确实比较麻烦,而且其综合库至今也没有标准化,不具有晶体管开关级的描述能力和模拟设计的描述能力。目前的看法是,对于特大型的系统级数字电路设计,VHDL是较为合适的。

在底层的VHDL设计环境是由VerilogHDL描述的器件库支持的,Verilog和VDHL的两个国际组织OVI、VI正在筹划这一工作,准备成立专门的工作组来协调VHDL和VerilogHDL语言的互操作性。OVI也支持不需要翻译,由VHDL到Verilog的自由表达。几种代表性的HDL语言1.VHDL

早在1980年,682.VerilogHDL

VerilogHDL是在1983年,由GDA(GateWayDesignAutomation)公司的PhilMoorby首创的。PhilMoorby后来成为Verilog-XL的主要设计者和Cadence公司的第一合伙人。在1984~1985年,PhilMoorby设计出了第一个名为Verilog-XL的仿真器;1986年,他对VerilogHDL的发展又作出了另一个巨大的贡献:提出了用于快速门级仿真的XL算法。

随着Verilog-XL算法的成功,VerilogHDL语言得到迅速发展。1989年,Cadence公司收购了GDA公司,VerilogHDL语言成为Cadence公司的私有财产。1990年,Cadence公司决定公开VerilogHDL语言,于是成立了OVI(OpenVerilogInternational)组织,负责促进VerilogHDL语言的发展。基于VerilogHDL的优越性,IEEE于1995年制定了VerilogHDL的IEEE标准,即IEEEStd1364-1995;2001年发布了IEEEStd1364-2001标准。在这个标准中,加入了VerilogHDL-A标准,使Verilog有了模拟设计描述的能力。2.VerilogHDL

VerilogHDL是在1693.Superlog

Verilog语言的首创者PhilMoorby和PeterFlake等硬件描述语言专家,在一家叫Co-DesignAutomation的EDA公司进行合作,开始对Verilog进行扩展研究。1999年,Co-Design公司发布了SUPERLOGTM系统设计语言,同时发布了两个开发工具:SYSTEMSIMTM和SYSTEMEXTM。一个用于系统级开发,一个用于高级验证。2001年,Co-Design公司向电子产业标准化组织Accellera发布了SUPERLOG扩展综合子集ESS,这样它就可以在今天Verilog语言的RTL级综合子集的基础上,提供更多级别的硬件综合抽象级,为各种系统级的EDA软件工具所利用。

至今为止,已超过15家芯片设计公司用Superlog来进行芯片设计和硬件开发。Superlog是一种具有良好前景的系统级硬件描述语言。但是不久前,由于整个IT产业的滑坡,EDA公司进行大的整合,Co-Design公司被Synopsys公司兼并,形势又变得扑朔迷离。

3.Superlog

Verilog语言的704.SystemC

随着半导体技术的迅猛发展,SoC已经成为当今集成电路设计的发展方向。在系统芯片的各个设计中,像系统定义、软硬件划分、设计实现等,集成电路设计界一直在考虑如何满足SoC的设计要求,一直在寻找一种能同时实现较高层次的软件和硬件描述的系统级设计语言。

SystemC正是在这种情况下,由Synopsys公司和CoWare公司积极响应目前各方对系统级设计语言的需求而合作开发的。1999年9月27日,40多家世界著名的EDA公司、IP公司、半导体公司和嵌入式软件公司宣布成立“开放式SystemC联盟”。著名公司Cadence也于2001年加入了SystemC联盟。SystemC从1999年9月联盟建立初期的0.9版本开始更新,从1.0版到1.1版,一直到2001年10月推出了最新的2.0版。

4.SystemC

随着半导体技术的迅猛发展,SoC已71在2001年举行的国际HDL会议上,与会者就使用何种设计语言展开了生动、激烈的辩论。最后,与会者投票表决:如果要启动一个芯片设计项目,他们愿意选择哪种方案?结果,仅有2票或3票赞成使用SystemC、Cynlib和CLevel设计;而Superlog和Verilog各自获得了约20票。至于以后会是什么情况,连会议主持人JohnCooley也明确表示:“5年后,谁也不知道这个星球会发生什么事情。”

各方人士各持己见:为Verilog辩护者认为,开发一种新的设计语言是一种浪费;为SystemC辩护者认为,系统级芯片SoC快速增长的复杂性需要新的设计方法;C语言的赞扬者认为,Verilog是硬件设计的汇编语言,而编程的标准很快就会是高级语言,CynlibC++是最佳的选择,它速度快、代码精简;Superlog的捍卫者认为,Superlog是Verilog的扩展,可以在整个设计流程中仅提供一种语言和一个仿真器,与现有的方法兼容,是一种进化,而不是一场革命。

关于HDL的一次国际讨论会在2001年举行的国际HDL会议上,与会者就使用何种72

系统级(system)——用语言提供的高级结构实现算法运行的模型;

算法级(algorithm)——用语言提供的高级结构实现算法运行的模型;

RTL级(RegisterTransferLevel)——描述数据在寄存器之间流动和如何处理、控制这些数据流动的模型。(以上三种都属于行为描述,只有RTL级才与逻辑电路有明确的对应关系。)

门级(gate-level)——描述逻辑门以及逻辑门之间的连接模型。(与逻辑电路有确切的连接关系。以上四种,数字系统设计工程师必须掌握。)

开关级(switch-level)——描述器件中三极管和存储节点以及它们之间连接的模型。(与具体的物理电路有对应关系,工艺库元件和宏部件设计人员必须掌握。)

目前可取可行的策略和方式

系统级(system)——用语言提供的高级结构实现算73微电子设计工业的设计线宽到0.13μm这个目标后,90%的信号延迟将由线路互连所产生。以后,EDA业界将在以下三个方面开展工作。①

互用性标准。所有解决方案的基础,是设计工具开发过程的组件——互用性标准。我们知道,EDA工业采用的是工业上所需要的标准,而不管标准是谁制定的。但是,当今市场的迅速发展正在将优势转向那些提供标准时能做到快速适应和技术领先的组织。处于领先的公司正在有目的地向这方面投资,那些没有参加开发这些标准的公司则必须独自承担风险。②

扩展其高级库格式(ALF)标准,使其包含物理领域的信息,是EDA开发商可以致力于解决互连问题的算法,从而使电路设计者在解决设计收尾工作时,不再受到这个问题的困扰。

制定新的系统级设计语言标准。标准化系统芯片的设计工具和语言,使SoC真正达到第三次微电子设计革命浪潮。

未来发展和技术方向微电子设计工业的设计线宽到0.13μm这个目标后,974我国发展的战略选择

1.为了实现我国的芯片设计自主化,必须夯实基础,在结合VHDL的基础上,推广VerilogHDL设计语言,使硬件设计的底层单元库可以自主研制;

2.根据目前芯片系统的发展趋势,对系统级语言进行比较研究,在Suoerlog、SystemC等语言中做出选择,并进行相关工具的推广,以及与相关企业进行合作等;

3.

深入HDL语言的综合和仿真等模型的研究,努力在与国外合作的基础上,建立自主知识产权的EDA公司;

4.积极加入EDA目前正在进行的标准化工作,做到了解、学习、应用、吸收、参与并重;

5.政府积极加入,重视产、学、研的合作,开展卓有成效的发展模式。

我国发展的战略选择1.为了实现我国的芯片设计自主化,75集成电路与EDA技术的发展课件76前端设计初级(F101)

培训内容:1、计算机操作系统UNIX应用

2、数字电路逻辑设计

3、硬件描述语言HDL和逻辑综合初步

4、集成电路设计导论及流程

5、半导体器件原理及集成电路概论

6、项目设计实践(C)

准入条件:理工科专科以上学历(有电子工程或计算机背景为佳);大学英语四级或大专英语三级。

======================================================================================

前端设计中级(F201)

培训内容:1、CMOSVLSI设计原理

2、ASIC设计导论

3、数字系统设计与FPGA现场集成

4、可测性设计

5、项目设计实践

准入条件:通过前端设计初级考核或相当水平。

=============================================前端设计初级(F101)

培训内容:1、计算机操作系统UN77后端设计初级(B101)

培训内容:1、计算机操作系统UNIX应用基础

2、半导体器件原理及集成电路概论

3、集成电路设计导论及流程

4、版图设计知识

5、版图设计工具及使用方法

6、项目设计实践(C)

准入条件:理工科专科以上学历(有电子工程或计算机背景为佳);大学英语四级或大专英语三级==================================================

后端设计中级(B201)

培训内容:1、CMOS集成电路设计原理

2、ASIC设计导论

3、IC布局布线设计

4、版图验证和提取

5、可测性设计

6、项目设计实践

准入条件:通过后端设计初级考核或相当水平。后端设计初级(B101)

培训内容:1、计算机操作系统UN78=================================

FPGA设计与验证(初级)(FP101)

培训内容:1、数字电路逻辑设计

2、CMOS集成电路设计原理

3、硬件描述语言HDL及FPGA设计方法

4、FPGA现场集成

5、项目设计实践(C)

准入条件:学员应具有理工科专科以上学历(有电子工程或计算机等相关专业背景为佳)

=================================================芯片测试(N101)

培训内容:1、半导体器件原理其集成电路制造概论

2、集成电路设计导论及流程

3、集成电路测试知识

4、集成电路测试工具及使用方法

5、项目设计实践(C)

准入条件:学员应具有理工科专科以上学历(有电子工程或计算机等相关专业背景为佳)

===============================================================================79上海交大IC设计工程硕士课程设置

1.模拟集成电路理论与设计

2.计算机系统与结构

3.数字信号处理系统的设计与实践

4.数字集成电路理论与设计(VLSII)

5.半导体物理和器件物理学

6.算法分析与设计

7.集成系统芯片(SOC)设计方法学导论

8.VLSI测试方法学

9.微电子电路的计算机辅助设计

10.集成电路工艺原理与实验

11.嵌入式系统与结构

12.射频与高速集成电路分析与设计

13.集成电路高级综合技术

14.集成电路版图设计

15.集成电路设计实践(VLSIII)电子电路基础理论IC设计方法学软件使用上海交大IC设计工程硕士课程设置

1.模拟集成电路理论与设80现在开始我们可以做的事情查找并列表各集成电路、EDA网站(页)地址。查找国家有关信息化和集成电路方面的政策。查找我国现有的集成电路设计、制造、封装企业及网址。学习并比较硬件描述语言。收集各种EDA工具并学习使用。收集我国在集成电路方面所取得的成就的资料。翻译一些软件使用等方面的资料。现在开始我们可以做的事情查找并列表各集成电路、EDA网站(页81谢谢大家!请多提宝贵意见!希望将您获得的有价值的资料和信息发给我:Zhaobuhui@谢谢大家!希望将您获得的有价值的资料和信息发给我:82Petri网硬件实现研究Petri网硬件实现研究83集成电路与EDA技术的发展课件84MODULEphil4TITLE'thisisaDiningPhilosopher’sProblem'P1..P8PINISTYPE'REG';B1..B4NODEISTYPE'REG';T1..T8PIN;SETPIN;B=[B1..B4];P2468=[P2,P4,P6,P8];P1357=[P1,P3,P5,P7];EQUATIONSP2468.AP=SET;B.AP=SET;P1357.AR=SET;//置初始情态P1.CLK=P1&T1&!B1&!P2&!B2#!P1&T2&B1&P2&B2;P2.CLK=P2&B1&B2&T2&!P1#!P2&T1&P1&!B1&!B2;P3.CLK=P3&T3&!B2&!P4&!B3#!P3&T4&B2&P4&B3;P4.CLK=P4&B2&B3&T4&!P3#!P4&!B2&!B3&T3&P3;P5.CLK=P5&T5&!B3&!P6&!B4#!P5&T6&B3&P6&B4;P6.CLK=P6&B3&B4&T6&!P5#!P6&!B3&!B4&T5&P5;P7.CLK=P7&T7&!B4&!P8&!B1#!P7&T8&B4&P8&B1;P8.CLK=P8&B4&B1&T8&!P7#!P8&!B4&!B1&T7&P7;B1.CLK=B1&P2&B2&T2#B1&P8&B4&T8#!B1&P7&T7#!B1&P1&T1;B2.CLK=B2&P2&B1&T2#B2&P4&B3&T4#!B2&P1&T1#!B2&P3&T3;B3.CLK=B3&P4&B2&T4#B3&P6&B4&T6#!B3&P3&T3#!B3&P5&T5;B4.CLK=B4&P6&B3&T6#B4&P8&B1&T8#!B4&P5&T5#!B4&P7&T7;P1:=!P1;P2:=!P2;P3:=!P3;P4:=!P4;P5:=!P5;P6:=!P6;P7:=!P7;P8:=!P8;B1:=!B1;B2:=!B2;B3:=!B3;B4:=!B4;TEST_VECTORS([SET,T1,T2,T3,T4,T5,T6,T7,T8]->[P1,P2,P3,P4,P5,P6,P7,P8,B1,B2,B3,B4])[1,0,0,0,0,0,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];[0,0,0,0,0,0,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];[0,0,1,0,0,0,0,0,0]->[1,0,0,1,0,1,0,1,0,0,1,1];[0,0,0,0,0,0,0,0,0]->[1,0,0,1,0,1,0,1,0,0,1,1];[0,1,0,0,0,0,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];[0,0,0,0,0,0,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];[0,0,1,0,0,0,1,0,0]->[1,0,0,1,1,0,0,1,0,0,0,0];[0,0,0,0,0,0,0,0,0]->[1,0,0,1,1,0,0,1,0,0,0,0];[0,1,0,0,0,1,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];[0,0,0,0,0,0,0,0,0]->[0,1,0,1,0,1,0,1,1,1,1,1];END

MODULEphil485集成电路与EDA技术的发展课件86集成电路与EDA技术的发展课件87集成电路与EDA技术的发展课件88集成电路与EDA技术的发展课件89基于Petri网密码锁的实现

基于Petri网密码锁的实现90集成电路与EDA技术的发展课件91集成电路与EDA技术的发展课件92in1、in2与输入变迁相连,out1、out2与输出变迁相连。p0、p1都用来代表库所的状态,set和reset分别用于置初始标识。in1、in2与输入变迁相连,out1、out2与输出变迁93P/T系统库元件的建立存在两大难点:其一是由于弧的权和库所的容量,库所与变迁之间的信息交换量大大增加,变迁发生时与之关联的库所要根据不同的计算结果重新进行赋值;其二是库所对发生的变迁要加以区别,正确赋值。

P/T系统库元件的建立存在两大难点:其一是由于弧的权和库所的94演讲完毕,谢谢观看!演讲完毕,谢谢观看!95集成电路与EDA技术的发展南工电子与电气工程系徐源集成电路与EDA技术的发展南工电子与电气工程系96社会发展的两大趋势:

社会信息化;经济全球化。我国的政治主张:

和平与发展。美国的主张:

集成电路等高技术领域要保持超过中国二十年的优势。

Intel公司总裁贝瑞特曾说:“美国只占世界人口的4%,我要征服另外96%的人心。”社会发展的两大趋势:我国的政治主张:美国的主张:97农业经济工业经济知识经济基本资源土地矿产知识(信息)标志产品粮棉、畜产汽车、电器芯片、软件、知识能源消耗低高低发展速度低较高更高环境影响较好差好整体速度低较高更高知识经济的特征农业经济工业经济知识经济基本资源土地矿产知识98国家为什么要大力发展集成电路?社会发展的需要:集成电路是最能体现知识经济特征的典型产品之一。经济发展的需要:现代经济发展的数据表明,GDP每增长100元,需要10元左右电子工业产值和1~2元集成电路产值的支持。到2010年,集成电路全行业销售额将达到1万亿美元,它将支持6~8万亿美元的电子装备和30万亿美元的电子信息服务业,后者相当于1997年全世界GDP的总和。目前发达国家信息产业产值已占国民经济总产值的40%~60%,国民经济总产值增长部分的65%与集成电路有关。

国家安全的需要:集成电路是信息化的基础,芯片的供应和芯片的安全性问题。国家为什么要大力发展集成电路?社会发展的需要:集成电路是最能99预计到2005年,全国集成电路产量要达到200亿块,销售额达到600~800亿元,约占当时世界市场份额的2%~3%,满足国内市30%的需求。到2010年,全国集成电路产量要达到500亿块,销售额达到2000亿元左右,占当时世界市场份额的5%,满足国内市场50%的需求。硅集成电路的发展趋势有集成度提高、圆片直径增大、特征尺寸减少、功耗增大、互连线层数增多等。目前,以0.25微米CMOS技术为主流的微电子技术已经进入大生产阶段,芯片的集成度达到108-109量级。预计到2006年,单片系统集成芯片将达到如下指标:最小特征尺寸为0.1微米、芯片集成度达2亿个晶体管、芯片面积为520平方毫米、7~9层金属连线、管脚数为4000个、工作电压为0.9-1.2V、工作频率2-2.5GHz,功耗160瓦。到2010年,特征尺寸为0.07微米的64GbDRAM产品将投人批量生产。

预计到2005年,全国集成电路产量要达到20100政府的策略:《中共中央国务院关于加强技术创新,发展高科技,实现产业化的决定》指出:“突出高新技术产业领域的自主创新,培育新的经济增长点,在电子信息特别是集成电路设计与制造、网络及通信、计算机及软件、数字化电子产品等方面,……加强高新技术创新,形成一批拥有自主知识产权、具有竞争优势的高新技术产业”。

专家的共识:中国科学院、中国工程院专门成立了包括师昌绪、王淀佐、王越、王阳元等10位院士组成的专家咨询组。在大量调查研究的基础上,专家们建议,我国在“十五”期间要像当年搞“两弹一星”一样,集中国家有限的人力和财力,开发有自主知识产权的新一代微电子核心工艺技术及产品。专家的意见和政府的策略政府的策略:《中共中央国务院关于加强技术创新,发展高科技,实101党的十五届五中全会明确指出,信息化是我国产业优化升级和实现工业化、现代化的关键环节,要把推进国民经济和社会信息化放在优先位置。大力推进国民经济和社会信息化,是覆盖现代化建设全局的战略举措。要以信息化带动工业化,发挥后发优势,实现社会生产力的跨越式发展。

信息产业作为国民经济的基础产业、先导产业、支柱产业和战略性产业,对国民经济、国家安全、人民生活和社会进步正在发挥着越来越重要的作用。

当今世界,以信息技术为核心的高新技术的发展,极大地改变了人们的生产、生活方式和国际经济、政治关系,同时也有力地促进了世界新军事变革的发展。信息化是当代科技革命、社会变革最重要的推动因素。江泽民强调指出:要坚持以信息化带动机械化,以机械化促进信息化,实现机械化、信息化建设的复合式发展,完成机械化、信息化建设的双重历史任务。朱鎔基总理在政府工作报告中强调:要积极发展对经济增长有重大带动作用的高新技术产业。大力推进信息化,用信息化带动工业化。广泛采用先进适用技术改造传统产业,努力振兴装备制造业。党的十五届五中全会明确指出,信息化是我国产业优化升级1021999年8月20日《中共中央国务院关于加强技术创新,发展高科技,实现产业化的决定》中,集成电路设计与制造被放在电子信息领域高技术创新的第一位。鼓励软件产业和集成电路产业发展的若干政策(2000.6.24)

上海市人民政府批转人事局关于本市进一步做好吸引微电子紧缺人才工作意见的通知(2000.9.8)《信息产业”十五”计划纲要》(2001.5)

集成电路“十五”专项规划思路(2001.9)集成电路布图设计保护条例(2001.10.1)上海市海外留学人员来沪创办软件和集成电路设计企业创业资助专项资金管理暂行办法(2002.6.1)集成电路设计企业及产品认定实施细则(2001.7.21)

十五期间,国家支持的北京、上海、杭州、无锡、西安、成都、深圳7个国家级集成电路设计产业化基地已经建成。

国家为发展集成电路采取了哪些政策措施?1999年8月20日《中共中央国务院关于加强技术创新,发展高103目前基地企业已达58家。设计企业20家目前基地企业已达58家。设计企业20家104入住企业28家,加盟企业44家。入住企业28家,加盟企业44家。105集成电路与EDA技术的发展课件106集成电路与EDA技术的发展课件107我国集成电路发展遇到的障碍:资金、技术、人才两大“瓶颈”:一个是集成电路设计缺乏专利,二是集成电路制造缺乏设备。资金:让出市场,引进外资;技术:引进生产线,技术本地化;人才:引进、培养。出路:中国要成为半导体大国出路,最保险的捷径就是重点发展芯片设计,设计人才严重匮乏成为最大的“瓶颈”。我国集成电路发展遇到的障碍:两大“瓶颈”:一个是集成电路设计108中国集成电路设计公司状况分布:上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。规模:平均每个公司有6个产品系列,44%的公司产品系列在5个以下,20个以上占10%。水平:最大设计规模为200万门。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。

中国集成电路设计公司状况分布:上海、无锡和杭州三地占40%,109集成电路与EDA技术的发展课件110集成电路与EDA技术的发展课件111集成电路与EDA技术的发展课件112集成电路与EDA技术的发展课件113FPGA设计规模:50%的设计在10000到80000门之间,低于10000的设计占34%。FPGA设计规模:50%的设计在10000到80000门之间114大约1/3的FPGA设计在100MHZ以上,大约1/3的FPGA设计在100MHZ以上,115当前设计反复次数为3到4次:当前设计反复次数为3到4次:116EDA工具:布局,时序分析和逻辑综合是前三个主要的设计自动化领域。EDA工具:布局,时序分析和逻辑综合是前三个主要的设计自动化117集成电路与EDA技术的发展课件118集成电路与EDA技术的发展课件119首次认定的集成电路设计企业◆厦门联创微电子股份有限公司◆重庆西南集成电路设计有限公司◆杭州士兰微电子股份有限公司◆中国华大集成电路设计中心◆北京华虹NEC集成电路设计有限公司◆南京微盟电子有限公司◆成都华微电子系统有限公司◆深圳市国微电子股份有限公司◆无锡华晶矽科微电子有限公司◆大唐微电子技术有限公司◆无锡市华方微电子有限公司

首次认定的集成电路设计企业◆厦门联创微电子股份有限公司120第二批认定的集成电路设计企业◆北京微辰信息公司◆无锡爱芯科微电子公司◆西安亚同集成电路技术公司◆杭州国芯科技公司◆华科微电子(深圳)有限公司◆北京六合万通微电子公司◆深圳中兴集成电路设计公司◆

北京华虹集成电路设计公司

◆北京希格玛晶华微电子公司◆北京中星微电子公司◆西安深亚电子有限公司◆杭州友旺有限公司◆西安联圣科技有限公司◆北京火马微电子技术公司◆北京东世半导体技术公司◆苏州市华芯微电子有限公司

第二批认定的集成电路设计企业◆北京微辰信息公司◆北京希121第三批认定的集成电路设计企业◆深圳艾科创新微电子有限公司◆苏州国芯科技有限公司◆深圳市爱思科微电子有限公司◆珠海炬力集成电路设计有限公司◆四川绵阳凯路微电子有限公司◆江苏意源微电子技术有限公司第三批认定的集成电路设计企业◆深圳艾科创新微电子有限公司122第四批认定的集成电路设计企业◆武汉昊昱微电子有限公司◆武汉亚芯微电

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论