5-51系列单片机接口应用及Proteus仿真-出版社_第1页
5-51系列单片机接口应用及Proteus仿真-出版社_第2页
5-51系列单片机接口应用及Proteus仿真-出版社_第3页
5-51系列单片机接口应用及Proteus仿真-出版社_第4页
5-51系列单片机接口应用及Proteus仿真-出版社_第5页
已阅读5页,还剩144页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第5章

51系列单片机接口应用及Proteus仿真5.5数据存储器扩展5.4程序存储器扩展5.351系列单片机并行扩展系统的扩展原理5.251系列单片机内部接口和定时器的应用5.1Proteus简介5.6LED键盘/显示专用电路接口技术和应用5.7

LED点阵显示电路接口技术和应用

5.8LCD显示电路接口技术和应用5.9ADC与DAC器件接口技术5.10温度传感器接口技术5.251系列单片机内部接口和定时器的应用5.2.1并行接口应用51单片机有四个并行I/O端口,分别命名为P0、P1、P2和P3。它们共有三种操作方式:输出数据方式、读端口数据方式和读端口引脚方式。

在I/O口直接用作输入/输出时,CPU既可以把它们看作数据口也可以看作状态口这由用户根据实际情况决定1、I/O口直接用于输入/输出口[例5.1]如下图,P1.3~P1.0作为输出线,分别接指示灯的L3~L0,P1.7~P1.4作为输入线,分别接开关K3~K0,试编写程序实现Ki闭合,Li亮。ORG 0000HKLA: MOV A,P1

SWAP A

ORL A,#0F0H

MOV P1,A

LJMP KLA

END5.251系列单片机内部接口和定时器的应用5.2.1并行接口应用1、I/O口直接用于输入/输出口[例5.1]如下图,P1.3~P1.0作为输出线,分别接指示灯的L3~L0,P1.7~P1.4作为输入线,分别接开关K3~K0,试编写程序实现Ki闭合,Li亮。将上述程序存成.ASM文件后,编译成.HEX文件,然后在Proteus中加载进AT89C51单片机中,那么仿真结果如下图,当按下开关K1时,发光二极管L1亮115.251系列单片机内部接口和定时器的应用5.2.1并行接口应用1、I/O口直接用于输入/输出口[例5.2]图中所示为51单片机和蜂鸣器的接口电路,当P1.0输出0时,三极管导通,在蜂鸣器两端加上工作电压5V,蜂鸣器发声,P1.0输出1时,三极管截止,蜂鸣器不发声。编程序实现使蜂鸣器响5次,约0.5秒响,1秒停。仿真结果,点击运行,电脑音箱连续发出0.5秒停,1秒响的“嘟嘟”声。2、并行口接串行接口器件5.251系列单片机内部接口和定时器的应用5.2.1并行接口应用[例5.3]如下图,试编写程序将30H、31H单元内容串行输出至二片74LS164的子程序。2、并行口接串行接口器件5.251系列单片机内部接口和定时器的应用5.2.1并行接口应用[例5.3]如下图,试编写程序将30H、31H单元内容串行输出至二片74LS164的子程序。假设30H和31H中的数据分别为1100,1010B和0110,1011B,如图5-23所示输出的并行数据为11001010B,01101011B5.251系列单片机内部接口和定时器的应用5.2.2定时/计数器应用定时/计数器初始化过程一般如下:①根据定时时间要求或计数要求计算计数器初值;②填写工作方式控制字送TMOD存放器,如:MOVTMOD,#10H,说明定时器1工作在方式1,且工作在定时器方式;③送计数初值到THx和TLx存放器〔x=0、1〕;④启动定时〔或计数〕,即将TRx置位〔x=0、1〕;⑤设置允许中断存放器IE;⑥必要是还可以设置中断优先级存放器IP。定时器初值计算方法:定时器模式下①方式0:②方式1:③方式2:5.251系列单片机内部接口和定时器的应用5.2.2定时/计数器应用[例5.4]晶振频率fosc=6MHz,假设使用T0方式0产生10ms定时中断,试对T0进行初始化编程。INIT0: MOV TH0,#63H

MOV TL0,#18H

MOV TMOD,#00H

SETB

TR0

MOV IE,#82H

RET其中,T=10ms,fosc=6MHz,代入上式得

即:5.251系列单片机内部接口和定时器的应用5.2.2定时/计数器应用[例5.5]设fosc=12MHz,T0工作于方式1,产生50ms的定时中断,TF0为高级中断源,试编写主程序中的初始化程序和中断效劳程序,使P1.0产生周期为1s的方波,并在Proteus中进行仿真。5.251系列单片机内部接口和定时器的应用5.2.2定时/计数器应用[例5.6]设fosc=12MHz,T0工作于方式2,产生250µs定时中断〔高级〕,试编写主程序中的初始化程序和中断程序,每1s使时钟显示缓冲器30H~32H实时计数,缓冲器分配如下5.251系列单片机内部接口和定时器的应用5.2.2定时/计数器应用[例5.7]仿真实现两位数码管显示的秒表功能,设fosc=12MHz,T0工作于方式2,产生250µs定时中断〔高级〕。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路1、串行通信的两种根本方式⑴异步通信(a)帧格式(b)有空闲位的帧格式5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路1、串行通信的两种根本方式⑵同步通信同步通信时,发送方在数据或字符开始处就用同步字符〔常约定为1~2个字节〕指示一帧的开始,由时钟实现发送端和接收端同步,接收方一旦检测到符合规定的同步字符,就开始按顺序连续接收假设干个数据。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路2、串行通信接口的控制存放器⑴串行口控制存放器SCOND7D6D5D4D3D2D1D0SM0SM1SM2RENTB8RB8TIRI①SM0〔SCON.7〕、SM1〔SCON.6〕:串行口工作方式选择位。SM0SM1工作方式功能说明00方式0移位寄存方式(用于I/O扩展),波特率为fosc/1201方式18位UART,波特率可变(由定时器T1溢出率控制)10方式29位UART,波特率为fosc/64或fosc/3211方式39位UART,波特率可变(由定时器T1溢出率控制)5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路2、串行通信接口的控制存放器⑴串行口控制存放器SCOND7D6D5D4D3D2D1D0SM0SM1SM2RENTB8RB8TIRI②SM2〔SCON.5〕:多机通信控制位,主要用于方式2和方式3。③REN〔SCON.4〕:串行口接收允许位,由软件置1或清零。0:禁止接收;1:允许接收。④TB8〔SCON.3〕:用于在方式2和方式3时作为发送方要发送数据的第9位。需要时由软件置位或复位。⑤RB8〔SCON.2〕:用于在方式2和方式3时存放接收方接收到数据的第9位,该数据来自发送方的TB8。⑥TI〔SCON.1〕:发送中断标志位。⑦RI〔SCON.0〕:接收中断标志位。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路2、串行通信接口的控制存放器⑵电源控制存放器PCOND7D6D5D4D3D2D1D0SMOD

GF1GF0PDIDLSMOD:波特率选择位。当SMOD=1时,使方式1、方式2和方式3下的波特率加倍;当SMOD=0时,波特率不加倍。系统复位时,SMOD=0。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路3、波特率的设计

5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路3、波特率的设计方式1、方式3下常用波特率和定时器T1各参数的关系波特率(b/s)fosc(MHz)SMOD定时器T1模式重装载值62.5K121020FFH19.2K11.05921020FDH9.6K11.05920020FDH4.8K11.05920020FAH2.4K11.05920020F4H1.2K11.05920020E8H137.511.059200201DH1106002072H110120010FEEBH5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑴方式0①方式0发送在方式0下,当一个数据写入发送缓冲器SBUF时,串行口即将8位数据以fosc/12的波特率把数据从引脚RXD串行输出,引脚TXD输出同步移位信号,发送完时中断标志TI被置“1”。②方式0接收当串行口定义为方式0并且RXD=1时,便启动串行口以晶振频率的1/12的波特率接收数据,引脚RXD为数据输入端,引脚TXD为同步移位信号输出端,当接收器接收到数据的第8位时,将中断标志RI置“1”5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑴方式0[例5.8]如下图为串行口扩展I/O口硬件逻辑图,74LS164为串入并出移位存放器,74LS165为并入串出移位存放器,编程说明串行口的应用方法。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式假设将数据83H输出,那么通过串行口输出数据的编程方法如下MAIN: MOV SCON,#0 MOV A,#83H MOV SBUF,A JNB TI,$ CLR TI 仿真如下图,发光二极管的亮灭说明输出数据为10000011B,即83H。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式假设数据已在74LS165中,那么通过串行口输入数据的编程方法如下:MAIN: MOV SCON,#10H LOOP: CLR P3.2 NOP NOP SETB P3.2 MOV A,SBUF JNB RI,$ CLR RI \编程完成功能为单片机读入开关状态去控制发光二极管的亮灭。从图中可见,当开关状态为高上下高上下上下时,发光二极管为亮亮灭亮亮灭亮灭。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1

①方式1发送发送时,数据由引脚TXD输出。CPU执行一条写入发送数据缓冲器SBUF的指令(例如“MOVSBUF,A”),数据字节写入发送SBUF后,便启动串行口发送器发送,当发送完数据后,置中断标志TI为“1”②方式1接收接收时,数据从引脚RXD端输入。在REN置“1”后,就允许接收器接收。当采样到引脚RXD上“1”到“0”的负跳变时,就启动接收器接收,当检测到起始位有效时,输送数据至输入移位存放器,开始接收本帧其余数据信息。只有当RI=0且同时接收到停止位“1”〔或SM2=0〕时,才把接收到的8位数据装入接收缓冲器,把停止位放入RB8中,并使RI=1。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1[例5.9]将51单片机的TXD(P3.1)与RXD(P3.0)短接,P1.7接一个共阴极的发光二极管LED,串行口工作在方式1下,采用查询方式编写一个自发自收的程序,检查本单片机的串行口是否完好,并由LED显示结果。假设fosc=6MHz,波特率=120b/s,SMOD=0。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1图5-31为仿真结果。发送数据前给P1.0送0用以熄灭D1,然后通过串行通信向P1发送高电平。假设串行通信成功,那么可看到LED亮。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1[例5.10]设甲、乙两台单片机的fosc=6MHz,以110的波特率在方式下实现如下的串行通信,编写程序实现此功能。甲机发送:将首址为ADDRT的128个字节的数据块顺序向乙机发送;5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1ADDRT数据块中的数据为16字节,它们分别为:30H、40H、12H、11H、0AAH、0F0H、99H、0DH、56H、00H、13H、65H、0EH、0FFH、73H、0BBH。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1[例5.10]设甲、乙两台单片机的fosc=6MHz,以110的波特率在方式下实现如下的串行通信,编写程序实现此功能。乙机接收:将接收的128个字节的数据顺序存放在以首址为ADDRR的数据缓冲区中。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1aba图为通过串口助手发送16个数据,

b图为乙机接收数据,数码管能依次显示助手发送的数据41H、30H、42H、30H、43H、30H、44H、88H、FFH、03H、E4H、7AH、56H、DDH、15H、07H。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑵方式1甲乙机联机通讯仿真如下图,甲机发送的数据块中的数据30H、40H、12H、11H、0AAH、0F0H、99H、0DH、56H、00H、13H、65H、0EH、0FFH、73H、0BBH由乙机和助手分别接收,乙机同时将接收到的数据在数码管上显示。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑶方式2

①方式2发送发送时,数据由引脚TXD输出。发送一帧信息需11位,其中第9位是SCON中的TB8。CPU执行MOVSBUF,A指令就启动发送器发送,发送完一帧信息,TI置“1”。②方式2接收接收时,数据由引脚RXD输入,REN被置“1”以后,接收器开始以接收引脚RXD的电平,当检测到引脚RXD由高到低的负跳变时,就启动接收器接收,确认起始位有效后,才开始接收本帧其余信息。接收完一帧信息后,只有在RI=0且SM2=0时,或SM2=l且接收到数据的第9位为“1”时,才把8位数据装入接收缓冲器,数据第9位装入SCON中的RB8,并置RI为“1”。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑶方式2[例5.11]设计一个发送程序,将50H~5FH的数据块从串行口输出。TRT: MOV SCON,#80H ;方式2编程

MOV PCON,#80H ;取波特率为fosc/32START:

MOV R0,#50H

;R0指向初始地址50H

MOV R7,#10H

;R7计数长度设为10HLOOP: MOV A,@R0 ;取数据到A中

MOV C,P ;将奇偶校验位P中的的值送入CY

MOV TB8,C ;将TB8中设置成奇偶校验位

MOV SBUF,A ;启动串口发送数据

JNB

TI,$ ;判断发送中断标志位,不为1等待

INC

R0

CLR

TI

DJNZ R7,LOOP

LJMP

START5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑶方式250H~5FH中存放的16个数据如下:89H、12H、67H、34H、56H、01H、09H、02H、08H、03H、07H、04H、06H、05H、00H、99H。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路4、串行口的工作方式⑷方式3方式3下的串行口为波特率可变的9位异步通信接口。除波特率外,方式3与方式2类似,方式3下的波特率计算方法同方式1。[例5.12]设串行口上外接一个串行输入的设备,51单片机和该设备之间采用9位异步通信方式,波特率为2400b/s,fosc为比11.0592MHz,串行口选择工作方式3,定时器T1选为工作方式2,SMOD=0。编写程序实现此功能。5.251系列单片机内部接口和定时器的应用5.2.3串行接口电路5、多机通信串行口的方式2和方式3常用于多机通信,如以下图所示为主从式结构多机通信系统。5.351系列单片机并行扩展系统和扩展原理5.3.1最小系统如下图,将单片机接上时钟电路和复位电路就构成了单片机最小系统。5.351系列单片机并行扩展系统和扩展原理5.3.2扩展总线与编址技术1、片外三总线结构①地址总线ABUS②数据总线DBUS③控制总线CBUSALE:地址锁存允许信号,用以锁存低8位地址。

5.351系列单片机并行扩展系统和扩展原理5.3.2扩展总线与编址技术2、编址技术一般有三种方法:线选法、译码法和页面寻址。

5.351系列单片机并行扩展系统和扩展原理5.3.2扩展总线与编址技术2、编址技术一般有三种方法:线选法、译码法和页面寻址。②译码法:利用单片机高位没有用到的地址线经过译码器译码后与扩展的芯片的片选连接。译码法有局部译码和全译码法。[例5.14]如下图为采用全地址译码法进行扩展的电路图,请确定0#~7#芯片的地址。5.351系列单片机并行扩展系统和扩展原理5.3.2扩展总线与编址技术2、编址技术一般有三种方法:线选法、译码法和页面寻址。③页面寻址:当单片机系统需要超过64K字节的存储空间时,可通过页而寻址法实现。[例5.15]如下图为页面寻址方式进行扩展的电路,分析628128以及I/O0~I/O7的地址。5.351系列单片机并行扩展系统和扩展原理5.3.2扩展总线与编址技术2、编址技术③页面寻址

5.4程序存贮器扩展5.4.1外部程序存贮器的操作时序(a)没有访问外部数据存贮器时的操作时序

5.4程序存贮器扩展5.4.1外部程序存贮器的操作时序(b)发生访问外部数据存贮器时的操作时序

5.4程序存贮器扩展5.4.2常用EPROM存贮器常用的EPROM芯片有:2716(2K)、2733(4K)、2764(8K)、27128(16K)、27256(32K)、27512(64K)、27010(1M)、27020(2M)等。A0~Ai:地址输入线D0~D7:三态数据总线

Vpp:编程电源输入线Vcc:主电源输入线GND:接地线

5.4程序存贮器扩展5.4.2常用EPROM存贮器EPROM存贮器与单片机连接的示意图

5.4程序存贮器扩展5.4.2程序存贮器扩展方法

5.4程序存贮器扩展5.4.2程序存贮器扩展方法

5.4程序存贮器扩展5.4.2程序存贮器扩展方法

5.4程序存贮器扩展5.4.2程序存贮器扩展方法以下图是具有一定代表性的程序存储器扩展电路连接图,图中单片机采用的是51系列单片机,程序存储器为27256。由于27256地址空问范围为0000H~7FFFH,故需用单片机的地址线15个。

5.5数据存贮器扩展5.5.1外部数据存贮器的操作时序(a)数据存贮器读周期时序

5.5数据存贮器扩展5.5.1外部数据存贮器的操作时序(b)数据存贮器写周期时序

5.5数据存贮器扩展5.5.2常用的RAM芯片常用的RAM型号有6116(2K×8位)、6264(8K×8位)及62256(32K×8位)A0~Ai:地址输入线D0~D7:双向三态数据线

Vcc:工作电源,为+5V

5.5数据存贮器扩展5.5.3RAM存贮器扩展方法

5.5数据存贮器扩展5.5.3RAM存贮器扩展方法

5.5数据存贮器扩展5.5.4外部RAM的读写程序用以下4条存放器间接寻址指令访问片外数据存储器。[例5.20]如下图电路图,用R0作指针的清零外部RAM的00H~FFH单元的子程序。INIRAM_P: MOVP2,#0

MOVR0,#0

CLRAINI_PL: MOVX@R0,A

INCR0

CJNER0,#0,INI_PL

RETMOVXA,@RiMOVX A,@DPTRMOVX @Ri,AMOVX @DPTR,A

5.5数据存贮器扩展5.5.4外部RAM的读写程序[例5.21]如下图电路图,用DPTR作指针的清零外部RAM的00H~FFH单元的子程序。INIRAM:MOVDPTR,#0

MOVR7,#0

CLRAINIL: MOVX@DPTR,A

INCDPTR

DJNZR7,INIL

RET[例5.22]如下图电路图,将DPTR指出的外部RAM中16个字节数据传送到R0指出的内部RAMTXRAM:MOVR7,#16TXRAML:MOVXA,@DPTR

MOV@R0,A

INCR0

INCDPTR

DJNZR7,TXRAML

RET

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术1、LED数码管的结构与原理外形结构图共阳数码管的内部结构图共阴数码管的内部结构图[例5.23]假设有一个共阴极数码管,要在该数码管上显示以下字符:2、小数点dp、全部熄灭,请写出它的显示段码

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术1、LED数码管的结构与原理

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术2、LED数码管与单片机的接口⑴硬件译码的接口方法

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术2、LED数码管与单片机的接口⑴硬件译码的接口方法[例5.24]显示电路如下图,设待显示的十位、个位BCD码存于片内RAM30H单元,千位、百位BCD码存于片内RAM的31H单元,编写出显示程序。DISP: MOVR0,#30H

MOVA,@R0 ;取十位,个位BCD码

MOVDPTR,#7FFFH

;准备选中74LS377(1)

MOVX@DPTR,A ;显示十位、个位BCD码

INCR0

MOVA,@R0 ;取千位、百位BCD码

MOVDPTR,#0BFFFH

;准备选中74LS377(2)

MOVX@DPTR,A ;显示千位、百位BCD码

RET

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术2、LED数码管与单片机的接口⑴硬件译码的接口方法[例5.24]显示电路如下图,设待显示的十位、个位BCD码存于片内RAM30H单元,千位、百位BCD码存于片内RAM的31H单元,编写出显示程序。〔a〕十位个位上输出47H〔b〕千位百位上输出56H

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术2、LED数码管与单片机的接口⑵软件译码的接口方法该方法是在程序中用软件查表代替硬件译码,因此省去了繁琐的硬件电路,同时它还可以显示更多的字符。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑴静态显示LED数码管的各笔画段都有其独立的具有锁存功能的I/O口线,CPU把要显示的数据送到显示接口后,每只LED数码管由外驱动电路处于持续驱动状态,即使CPU不再扫描数码管,因为各笔画段接口具有锁存功能,显示的内容保持不变。优点:软件程序和显示方法比较简单、显示亮度较大,不闪烁。缺点:占用的I/O资源较多,硬件本钱较高。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑴静态显示[例5.25]以下图给出了一个软件译码的静态显示接口电路,试编写程序将8051片内RAM中以40H为首地址的8个非压缩BCD码数据显示出来。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑴静态显示DISP: MOVSCON,#00H

;设置串口工作于方式0

MOVR0,#40H

MOVR7,#08H

MOVDPTR,#TAB

;指向段码表首LP: MOVA,@R0 ;取要显示字符

MOVCA,@A+DPTR ;查表

MOVSBUF,A ;发送显示

JNBTI,$

;等待发送完一个数据

CLRTI

INCR0

DJNZR7,LP

;发送其它数据

RETTAB: DB3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;0,1,2,3,4,5,6,7,8,9

DB77H,7CH,39H,5EH,79H,71H ;A,B,C,D,E,F[例5.25]以下图给出了一个软件译码的静态显示接口电路,试编写程序将8051片内RAM中以40H为首地址的8个非压缩BCD码数据显示出来。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑴静态显示[例5.25]以下图给出了一个软件译码的静态显示接口电路,试编写程序将8051片内RAM中以40H为首地址的8个非压缩BCD码数据显示出来。仿真时简化了原理图,单片机通过串口扩展了3个8位并口接3个共阴数码管。假设40H~42H单元中存放的非压缩BCD码分另为01H、04H和03H,在数码管上显示3、4、1。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑵动态显示是在显示程序运行过程中对每一位数码管分时交替驱动,轮流扫描的显示方式。优点:可以大大简化了硬件线路。缺点:因为要经常不断的执行显示子程序对各个数码管进行动态扫描,所要要消耗CPU较多的运行时间。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑵动态显示[例5.26]动态显示电路如下图,编写一动态显示程序,使LED显示器同时显示“ABCDEF”6个字符。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑵动态显示[例5.26]动态显示电路如下图,编写一动态显示程序,使LED显示器同时显示“ABCDEF”6个字符。

、5.6LED键盘/显示专用电路接口技术和应用5.6.1LED显示接口技术3、LED数码管的静态显示和动态显示⑵动态显示[例5.26]动态显示电路如下图,编写一动态显示程序,使LED显示器同时显示“ABCDEF”6个字符。图中可见6个数码管依次分时显示A、B、C、D、E、F

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术1、按键与接口按键接口电路闭合和断开时的电压抖动示意图按键去抖动电路

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑴独立式键盘及其接口①结构将许多按键开关组合在一起,就成为一个键盘。最简单的独立式键盘结构如下图,每一个按键占用一根I/O端口线,它的电路是独立的,由一根I/O线输入按键的通断状态。当按键Si断开时,对应的I/O端口线为“1”,当其闭合时为“0”。

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑴独立式键盘及其接口②软件设计a、查询法软件设计[例5.27]按照图所示的电路,用软件查询方式编写按键识别程序KBP0: MOV P1,#0FFH

MOV

A,P1

CPL

A

JZ

KBP0

ACALL

D12MS

MOV A,P1

CPL

A

JZ

KBP0

JB

ACC.0,PR0 …

JB

ACC.7,PR7

RETPR0: … AJMP

KBP0

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑴独立式键盘及其接口②软件设计b、中断法软件设计

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口①结构及原理中图给出了4×4键盘的结构和一种接口方法。行线X0~X3接输入线P1.4~P1.7,列线Y0~Y3接输出线P1.0~P1.3。a、逐行扫描法首先,P1.3~P1.0输出1110,读P1.7~P1.4状态,假设不全为“1”,那么为“0”的行线Xi和Y0相交的键处于闭合状态。假设P1.7~P1.4为全“1”,那么Y0列无键闭合。然后,P1.3~P1.0输出1101,即Y1=0,其余列线为“1”,读行线X3~X0状态,判断Y1这一列上有无键闭合。依此类推,最后使P1.3~P1.0输出0111,即Y3为“0”,其余列线为“1”,读行线X3~X0状态,判断Y3这一列上有无键闭合。闭合键的键号=行首键号十列号

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口①结构及原理b、行翻转法首先,将P1.7~P1.4作为输入线,P1.3~P1.0作为输出线。P1.3~P1.0输出全“0”,读P1.7~P1.4〔即X3~X0〕的状态,假设得到为“0”的行线Xi即为闭合键所在的行然后,将P1.7~P1.4改为输出线,P1.3~P1.0改为输入线。P1.7~P1.4输出上一步聚读到的行线状态,读取P1.3~P1.0的状态,得到为“0”的列线Yj,那么行线Xi和列线Yj相交的的键处于闭合状态。键号0123456789101112131415键值EEEDEBE7DEDDDBD7BEBDBBB77E7D7B77

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口①结构及原理[例5.29]如图5-65所示,如果按下6号键,请用行翻转法分析键号判断过程。首先将P1.7~P1.4作为输入线,P1.3~P1.0作为输出线。P1.3~P1.0输出全“0”,读P1.7~P1.4〔即X3~X0〕的状态。由于是6号键闭合,所以得到X3X2X1X0的状态为1101,即行线X1为“0”。然后将P1.7~P1.4改为输出线,P1.3~P1.0改为输入线。P1.7~P1.4输出上一步聚读到的行线状态,即P1.7~P1.4此时输出1101,因为是6号键闭合,所以读取P1.3~P1.0的状态,得到P1.2口线为“0”,也就是说此时列线Y2为“0”,其余列线为“1”,也即输入线P1.3~P1.0〔Y3Y2Y1Y0〕此时状态为1011。将上面两个步聚得到的X3X2X1X0=1101B和Y3Y2Y1Y0=1011B拼成一个字节数据的键值为11011011B〔DBH〕,查表5-8,发现键值为DBH对应于键号是6。

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口①结构及原理[例5.30]如下图,请用行翻转法编写键盘输入程序KEYN: MOV P1,#0F0H

MOV

A,P1

CJNE A,#0F0H,KEYN1

SETB CKEYN1: ANL A,#0F0H

MOV B,A

ORL A,#0FH

MOV P1,A

MOV A,P1

ANL A,#0FH

ORL B,A

MOV DPTR,#KTAB

MOV R3,#0 KEYN2: MOV A,R3

MOVC A,@A+DPTR

CJNE

A,B,NEXT

MOV A,R3

CLR

C

RETNEXT: INC R3

AJMP KEYN2KTAB: DB 0EEH,0EDH,0EBH,0E7H,0DEH,0DDH,0DBH,0D7H

DB 0BEH,0BDH,0BBH,0B7H,7EH,7DH,7BH,77H

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口②接口及应用如下图,51单片机通过8155接口芯片扩展了一个5×6的矩阵键盘,8155的B口作行数据输入口,C口作列扫描输出口。图中键盘中共有30个键,每一个键都给予编号,键号分别为0、l、2、…、1DH,请用逐行扫描法编写键号识别程序。

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口②接口及应用a、查询扫描方式[例5.31]根据右图键扫描流程,编写出扫描键盘的子程序。设8155各端口的工作方式及地址分配如下:C口为键扫描输出地址是D103H,B口为键数据输入口地址是D102H。

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口⑵矩阵式键盘及其接口②接口及应用b、定时扫描方式

、5.6LED键盘/显示专用电路接口技术和应用5.6.2按键、键盘与单片机的接口技术2、键盘与及其接口②接口及应用c、中断扫描方式⑵矩阵式键盘及其接口

、5.7LED点阵显示电路接口技术和应用5.7.1点阵LED显示器原理(a)8×8LED点阵外观

(b)8×8LED点阵引脚

、5.7LED点阵显示电路接口技术和应用5.7.1点阵LED显示器原理(a)共阴极

(b)共阳极

、5.7LED点阵显示电路接口技术和应用5.7.2LED点阵显示接口电路及编程方法1、静止显示“年”字的原理及编第1步给出8×8共阳极LED点阵显示器的控制电路

、1、静止显示“年”字的原理及编第2步:给出显示“年”的编码及程序图解列扫描指令P0.0行0P0.1行1P0.2行2P0.3行3P0.4行4P0.5行5P0.6行6P0.7行7列7P2.7列6P2.6列5P2.5列4P2.4列3P2.3列2P2.2列1P2.1列0P2.0第7列编码:00100100B第6列编码:01000100B第0列编码:01000100B第1列编码:01010100B各列编码第0列:01000100B第1列:01010100B第2列:01010100B第3列:01111111B第4列:01010100B第5列:11011100B第6列:01000100B第7列:00100100B各列编码

、1、静止显示“年”字的原理及编第3步:给出显示“年”字流程图第4步:静止显示“年”的程序清单请用循环语句完成上述程序!思考:[例5.32]①顺序执行法静止显示“年”的参考程序

、1、静止显示“年”字的原理及编第4步:静止显示“年”的程序清单[例5.32]②采用查表法静止显示“年”的参考程序

、1、静止显示“年”字的原理及编第4步:静止显示“年”的程序清单[例5.32]静止显示“年”的Proteus仿真

、5.7LED点阵显示电路接口技术和应用5.7.2LED点阵显示接口电路及编程方法1、移动显示“年”的原理及编程汉字“年”向左移动编程步骤编写显示8列完整“年”字的程序;编写显示7列部分“年”字的程序;编写显示6列部分“年”字的程序;

编写显示1列部分“年”字的程序;编写延时1s的子程序;跳回第1步,反复进行;汉字“年”左移原理演示

、5.7LED点阵显示电路接口技术和应用5.7.2LED点阵显示接口电路及编程方法1、移动显示“年”的原理及编程汉字“年”左移显示仿真

、5.8LCD显示电路接口技术和应用5.8.1SMC602显示器工作原理尺寸参数实物外观

、5.8LCD显示电路接口技术和应用5.8.1SMC602显示器工作原理显示容量:16×

2个字符

;芯片工作电压:4.5~5.5;工作电流2.0mA:(5.0V);模块最佳工作电压:5.0V;字符尺寸:2.95×4.35(W×H)mm.1、主要技术指标

、5.8LCD显示电路接口技术和应用5.8.1SMC602显示器工作原理编号符号引脚说明编号符号引脚说明1VSS电源地9D2数据2VDD电源正极10D3数据3VL液晶显示偏压11D4数据4RS数据/命令选择12D5数据5R/W读/写选择13D6数据6E使能信号14D7数据7D0数据15BLA背光源正极8D1数据16BLK背光源负极2、引脚功能说明

、5.8LCD显示电路接口技术和应用5.8.1SMC602显示器工作原理3、RAM和ROM以及地址映射DDRAM显示数据RAM,用来寄存待显示的字符代码,共80个字节,其地址和屏幕的对应关系如下DDRAM地址与LCD1602的显示屏位置关系

、5.8LCD显示电路接口技术和应用5.8.1SMC602显示器工作原理3、RAM和ROM以及地址映射CGROM字模存储空间要显示的AS码,常用日文和希腊字符的字模存在CGROM中。H44780内置了192个常用字符的字模,如右图所示。CGRAM用户自建字模区有时CGROM中的字符不能满足个人对字符的要求,那么需要在CGRAM写入字模。如右图所示,HD44780有8个允许用户自定义的字符,其索引值为00H~07H。

、什么是字模?问题:“A”的字模数据01110B10001B10001B10001B11111B10001B10001B从CGROM表中可见“A”字符对应上面高位代码0100,对应左边低位代码0001,合起来是01000001B也就是41H,41H即为“A”在字模库中的索引值3、RAM和ROM以及地址映射

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序指令功能指令编码执行时间/msRSR/WDB7DB6DB5DB4DB3DB2DB1DB0清屏00000000011.641、清屏指令功能去除液晶显示器,即向DDRAM的内容中全部写20H,即ASCII码的“空白;光标归位,即将光标撤回至液晶显示屏的左上方;将地址计数器〔AC〕的值设为0。

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序指令功能指令编码执行时间/msRSR/WDB7DB6DB5DB4DB3DB2DB1DB0光标归位000000001×1.642、光标归位指令功能把光标撤回到显示器的左上方;把地址计数器〔AC〕的值设为0;保持DDRAM的内容不变。

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0进入模式设置00000001I/DS403、进入模式设置指令功能设定每次写入1位数据后光标的移位方向,并且设定每次定写入的1个字符是否移动,参数设定情况如下位名设置及功能I/D=0:写入新数据后光标左移;=1:写入新数据后光标右移S=0:写入新数据后显示屏不移动;=1:写入新数据后显示屏整体右移1个字符。

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序4、显示开关控制指令功能控制显示器开/关、光标显示/关闭以及光标是否闪烁。参数设定情况如下指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0显示开关控制0000001DCB40位名设置及功能D=0:显示功能关;=1:显示功能开C=0:无光标;

=1:有光标B=0:光标闪烁;

=1:光标不闪烁

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序5、设定显示屏或光标移动方向指令功能使光标移位或使整个显示屏幕移位。参数设定情况如下指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0设定显示屏或光标移动方向000001S/CR/L××40位名功

能S/CR/L00光标左移1格,且AC值减101光标右移1格,且AC值加110显示器上字符全部左移1格,但光标不动11显示器上字符全部右移1格,但光标不动

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序6、功能设定指令功能设定数据总线位数、显示的行数及字型。参数设定情况如下指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0功能设定00001DLNF××40位名设置及功能DL=0:数据总线为4位;=1:数据总线为8位N=0:显示1行;

=1:显示2行F=0:5×7点阵/每字符;

=1:5×10点阵/每字符

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序7、设定CGRAM地址指令功能设定下一个要存入数据的CGRAM的地址指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0设定CGRAM地址0001CGRAM的地址(6位)40DB5DB4DB3为字符号,也就是你将来要显示该字符时要用到的字符地址(或者说字符代码,字符索引值)。000~111,能定义8个字符。DB2DB1DB0为行号。000~111,可设置8行

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序8、设定DDRAM地址指令功能设定下一个要存入数据的DDRAM的地址指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0设定DDRAM地址001DDRAM的地址(7位)40

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序9、读取“忙”信号或AC地址指令功能读取忙碌信号BF的内容,假设BF=1,表示液晶显示器忙,暂时无法接收单片机送来的数据或指令。当BF=0时,液晶显示器可以接收单片机的送来的数据或指令;读取地址计数器〔AC〕的内容。指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0读取忙信号或AC地址01BFAC内容(7位)40

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序10、数据写入DDRAM或CGRAM指令功能将字符码写入DDRAM,以使液晶显示屏显示出相对应的字符;将使用者自已设计的图形存入CGRAM指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0数据写入DDRAM或CGRAM10要写入的数据D7~D040DB7DB6DB5这3位可为任何数据,一般取000。DB4DB3DB2DB1DB0这5位对应于每行5点的字模数据。

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序11、从CGRAM或DDRAM读出数据的指令功能读取DDRAM或CGRAM中的内容指令功能指令编码执行时间/µsRSR/WDB7DB6DB5DB4DB3DB2DB1DB0从CGRAM或DDRAM读出数据11要读出的数据D7~D040

、5.8LCD显示电路接口技术和应用5.8.2指令集及时序[例5.33]设现有一块1602液晶显示器,使用时要求2行显示,字符点阵为5×7,数据要求8位格式,写入新字符时,屏幕不移动,光标右移,显示时,有光标闪烁,请写出初始化时,要用到的指令码。①要求2行显示,字符点阵为5×7,数据要求8位格式。指令码为00111000B〔即38H〕②屏幕不移动,光标右移。指令码为00000110B〔即06H〕③显示时,有光标闪烁。指令码为00001111B〔即0FH〕④另外,还有个清屏指令,00000001B〔即01H〕

、5.8LCD显示电路接口技术和应用5.8.3应用实例[例5.34]如图5-82所示,LCD1602的D7~D0与51单片机的P1.7~P1.0连接,LCD1602的E与单片机的P3.5连接,RW与P3.6连,RS与P3.7连。编写程序实现在LCD1602液晶屏上第1行第0列显示字符“A”。〔1〕显示CGROM中的字符

、5.8LCD显示电路接口技术和应用5.8.3应用实例

、5.8LCD显示电路接口技术和应用5.8.3应用实例〔2〕显示自定义字符[例5.35]用手工取模方式写出自定义字符“◆”的字模数据,并给出设定CGRAM内容的具体指令。设定CGRAM内容分两步①设定行的内容〔CGRAM地址〕。设此自定义字符“◆”的字符号为“0”,一个字符有8行,所以行号从0到7。设定行的内容要分8步。②设定CGRAM数据〔内容〕指令。也就是把自定义字符的字模数据的某一行写入相应的行地址中。同样的,也要写8次才能写完一个字符的字模数据。

、5.8LCD显示电路接口技术和应用5.8.3应用实例〔2〕显示自定义字符

行设定行指令设定CGRAM数据指令第1次00100000000000000第2次10100000100000100第3次20100001000001110第4次30100001100011111第5次40100010000001110第6次50100010100000100第7次60100011000000000第8次70100011100000000设定自定义字符“◆”的CGRAM内容的指令

、5.8LCD显示电路接口技术和应用5.8.3应用实例〔2〕显示自定义字符[例5.36]如图5-84所示为LCD1602与51单片机的连接图,RS连P2.0,RW连P2.1,E连P2.2。D7~D0连P0口,试编程实现在第0行的第0列显示ASCII码A,第0行的第1列到第5列依次显示自定义字符“↑”、“↓”、“←”、“→”、“◆”,在第1行的第0列开始显示字符串“TEST!SUCCESS!

、5.8LCD显示电路接口技术和应用5.8.3应用实例〔2〕显示自定义字符

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口1、D/A转换器的主要技术参数分辨率是指D/A转换器能分辨的最小输出模拟增量,取决于输入数字量的二进制位数。转换精度是指满量程时DAC的实际模拟输出值和理论值的接近程度。偏移量误差是指输入数字量为零时,输出模拟量对零的偏移值。技术参数分辨率转换精度偏移量误差线性度输出电平转换时间线性度是指DAC的实际转换特性曲线和理想直线之间的最大偏差。一般在几十纳秒至几微秒输出电平有电流型和电压型两种。电流型输出电流在几毫安到几十毫安;电压型一般在5~10V之间,有的电压型可达24~30V。

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口2、8位集成D/A转换器─DAC0832⑴DAC0832内部结构及引脚特性

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑴DAC0832内部结构及引脚特性①数字量输入线DI7~DI0

③输出线3根Rfb:运算放大器反响线,常接到运算放大器输出端。Iout1和Iout2:两条模拟电流输出线。④电源线4根VCC:正电源输入线,可接+5V~+15V的正电源。VREF:参考电压,一般为-10V~+10V,由稳压电源提供。DGND:数字地。AGND:模拟地。

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口①直通方式

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口②单缓冲方式单缓冲方式是指DAC0832内部的两个数据缓冲器有一个处于直通方式,另一个受控于51单片机,

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口②单缓冲方式[例5.37]DAC0832用作波形发生器,试根据图5-88所示电路图,分别写出产生锯齿波、三角波和方波的程序。锯齿波程序:

ORG 1000HSTART: MOV R0,#0FEH

MOVX @R0,A

INC

A

SJMP START

END三角波程序:

ORG 1080HSTART: CLR

A

MOV R0,#0FEHDOWN: MOVX @R0,A INC A JNZ DOWN MOV A,#0FEHUP: MOVX @R0,A DEC A JNZ UP SJMP DOWN END

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口②单缓冲方式[例5.37]DAC0832用作波形发生器,试根据图5-88所示电路图,分别写出产生锯齿波、三角波和方波的程序。方波程序: ORG 1100HSTART: MOV R0,#0FEHLOOP: MOV A,#10

MOVX @R0,A

ACALL DELAY

MOV A,#0FEH

MOVX @R0,A

ACALL DELAY

SJMP

LOOPDELAY: MOV 30H,#30 DJNZ 30H,$ RET END

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口②单缓冲方式仿真锯齿波三角波方波

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口③双缓冲方式双缓冲方式是指DAC0832内部“8位输入存放器”和“8位DAC存放器”都不应当在直通方式下工作。

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口③双缓冲方式[例5.38]如图5-90所示为2片DAC0832与单片机组成的两路模拟量同频输出电路,请编程实现在两个通道上同时输出锯齿波和三角波,相应程序如下

、5.9ADC与DAC器件接口技术5.9.1DAC转换器接口⑵DAC0832与51单片机的接口③双缓冲方式仿真

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口1、A/D转换器的主要技术参数用来表示ADC对输入模拟信号分辨的能力,是指转换器能分辨的最小量化信号的能力。

ADC转换精度是反映实际A/D与理想A/D在量化值上的差值,它由模拟误差和数字误差组成。技术参数分辨率转换精度量程转换时间转换时间是指完成一次A/D转换所需的时间,即由发出启动转换命令信号到转换结束信号开始有效的时间间隔。量程是指所能转换的模拟输入电压范围,分单极性、双极性两种类型。

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口2、8位A/D转换芯片─ADC0809ADC0809内部由三大局部组成:8路输入模拟量选择电路;一个逐次逼近式A/D转换器;三态输出缓冲锁存器。

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口2、8位A/D转换芯片─ADC0809ALECBA接通信号ALECBA接通信号1000IN01101IN51001IN11110IN61010IN21111IN71011IN30×××均不通1100IN4

ADC0809真值表

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口2、8位A/D转换芯片─ADC0809引脚功能①8路模拟电压输入线〔共8根〕:IN7~IN0②地址输入和控制线〔共4根〕:C、B、A:三根地址线;ALE:地址锁存允许输入线,高电平有效。③数字量输出及控制线〔共11根〕:START:“启动脉冲”输入线,该线上的正脉冲由CPU送来,宽度应大于100ns,上升沿清零SAR,下降沿启动ADC工作。EOC:转换结束输出线,该线上的高电平表示A/D转换已结束,数字量已锁入“三态输出锁存器”。D7~D0:数字量输出线,共8根。D7为最高位。OE:“输出允许”线,高电平时能使D7~D0引脚上输出转换后的数字量。④电源线及其他〔5根〕CLOCK:时钟输入线,用于为ADC0809提供逐次比较所需640KHz时钟脉冲序列。VCC:+5V正电源电压输入线。GND:地线。VREF(+)和VREF(-):参考电压输入线,用于给电阻阶梯网络供给标准电压。VREF(+)常和VCC相连,VREF(-)常接地或负电源电压。

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口2、8位A/D转换芯片─ADC080951单片机与ADC0809的接口

、5.9ADC与DAC器件接口技术5.9.2ADC转换器接口①程序查询方式[例5.39]按图5-93所示的ADC0809与51单片机接口电路,用查询方式编写A/D转换程序,要求完成如下功能:将由IN0端输入的0~5V模拟信号转换为对应的数字量00H~FFH,然后再存入51单片机的内部RAM的30H单元中。

MOV DPTR,#0FEFFH

MOV A,#00H

MOVX @DPTR,A

MOV R2,#20H

DJNZ R2,$WAIT: JB P3.3,WAIT

MOVX A,@DPTR

MOV 30H,A

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论