硕士论文基于FPGA的以太网MAC协议的设计实现20101227_第1页
硕士论文基于FPGA的以太网MAC协议的设计实现20101227_第2页
硕士论文基于FPGA的以太网MAC协议的设计实现20101227_第3页
硕士论文基于FPGA的以太网MAC协议的设计实现20101227_第4页
硕士论文基于FPGA的以太网MAC协议的设计实现20101227_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

分类号 学号 M200871337 学校代码 10487 密级 硕 士 学 位 论 文基 于 FPGA 的 以 太 网 MAC 协 议的设 计 与 实 现学位申请人:严 力学科专业:通信与信息系统指导教师:程文青 教授答辩日期:2010 年 1 月 10 日A Dissertation Submitted in Partial Fulfillment of the Requirements for the Degree of Master of EngineeringDesign and Implementation ofEthernet MAC Protocol Based on FPGACandidate : Yan LiMajor : Communication and Information SystemSupervisor : Prof. Cheng WenqingHuazhong University of Science and TechnologyWuhan, Hubei 430074, P. R. ChinaJanuary 2010独创性声明本人声明所呈交的学位论文是我个人在导师的指导下进行的研究工作及取得的研究成果。尽我所知,除文中已标明引用的内容外,本论文不包含任何其他人或集体已经发表或撰写过的研究成果。对本文的研究做出贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律结果由本人承担。学位论文作者签名:日期: 年 月 日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,即:学校有权保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权华中科技大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。保密,在_年解密后适用本授权书。本论文属于 不保密 。(请在以上方框内打“” )学位论文作者签名: 指导教师签名: 日期: 年 月 日 日期: 年 月 日I摘 要随着互联网的飞速发展,以太网的应用也越来越广泛,速度也越来越快。在网络安全领域,通常使用网络过滤设备来对大量的以太网数据包进行分析。网络过滤设备正常工作的关键是对以太网数据包的正确收发,而这正是以太网MAC(媒体接入控制)层的主要功能。以太网 MAC 协议的设计实现,在以太网的相关应用中有十分重要的作用。同时,采用 FPGA 作为设计实现的载体,可以缩短开发周期,调试修改也非常方便。本文在对 MAC 协议进行分析理解的基础上,将整个 MAC 协议的实现分为数据接收,数据发送和控制管理三个部分,通过框图给出了他们之间的相互关系。此外,各个部分按照数据流向和具体功能又划分了若干个子模块。数据接收和发送部分主要划分了接口转换,前导帧处理,校验码处理等模块,负责对发送和接收的数据进行处理分析,控制管理部分则为外部调试与配置提供了相应的接口,包括流量统计信息的读取,外部 PHY 芯片的配置等。在详细阐述了各模块的设计实现之后,针对系统特点,搭建了仿真平台,给出了模块级和系统级的仿真结果,最后在硬件上测试,并对仿真和测试的结果进行了分析。本文设计实现的 MAC 协议以 Altera 公司的 Cyclone 3 系列的 FPGA 芯片为载体,运用 Verilog HDL 语言进行 RTL 级别的功能描述,能够实时地处理千兆的网络数据流量,并且可以通过 CPU 总线对外部 PHY 芯片进行配置,达到了预期的目标。关键词: 以太网, MAC, FPGA, VerilogIIAbstractWith the rapid development of Internet, Ethernet applications are increasingly used, and their speed is faster and faster. In the field of network security, we typically use network filtering devices to analyse Ethernet packets. To make network filtering devieces work properly, the key is correctly send and receive Ethernet data packets, and this is also the Ethernet media access control (MAC) layers main function. The design and implementation of Ethernet MAC has an important role in related applications. Meanwhile, as the design and implementation carrier, FPGA can shorten the development cycle and makes debugging very convenient.Based on the analysis of MAC protocols, this paper divide the implementation into three parts, including data reception, data transmission and management.In addition, we use diagram to show the relationship between these three parts, which are further divided according to the data processing flow and specific features.The data reception and transmission parts are responsile for data analysis and processing, which are devided into interface conversion, leading frame processing and checksum processing modules. The management part provides a debugging and configuration interface, including traffic statistics, PHY chip configuration etc. After detailed design and implementation of each module, we set up a simulation platform and get the simulation results in module level and system level. Finally, we test our design in hardware and analyze the simulation and test results.This paper takes an Alteras Cylcone 3 series FPGA chip as the hardware carrier, and adopts Verilog HDL language to describe RTL-level functions. The design can deal with real time gigabit network traffic and configure the external PHY chip through CPU bus, which achieves the desired goal.III目 录摘 要 .IAbstract .II目 录 .III英文缩略语 .V1 绪论 .(1)1.1 选题背景与课题意义 .(1)1.2 课题来源 .(1)1.3 本文内容及章节安排 .(2)2 相关技术介绍 .(3)2.1 以太网及 MAC 层协议 .(3)2.2 循环冗余检验 CRC 原理 .(5)2.3 媒体独立接口 MII.(6)2.4 系统包接口 SPI3 .(8)2.5 FPGA 开发流程 .(9)3 以太网 MAC 协议的研究与设计 .(12)3.1 需求分析 .(12)3.2 总体设计 .(13)3.3 以太网 MAC 协议的详细设计 .(14)3.4 本章小结 .(23)4 以太网 MAC 协议的实现与验证 .(24)4.1 数据接收模块的实现 .(24)4.2 数据发送模块的实现 .(30)4.3 控制管理模块的实现 .(32)4.4 系统验证与测试 .(35)4.5 本章小结 .(39)5 总结与展望 .(40)IV5.1 论文总结 .(40)5.2 研究展望 .(40)致谢 .(42)参考文献 .(43)V英文缩略语ASIC-Application Specific Integrated Circuit 专用集成电路MII-Media Independent Interface 媒体独立接口FPGA-Field Programmable Gate Array 现场可编程门阵列SPI3-System Packet Interface level 3 系统包接口第三级MAC-Medium Access Control 媒体接入控制LLC-Logical Link Control 逻辑链路控制OSI-Open System Interconnection 开放系统互连PHY- An Ethernet physical transceiver 以太网物理层芯片CRC- Cyclic redundancy check 循环冗余检验SONET- Synchronous Optical Networking 同步光纤网RTL-Register Transfer Lever 寄存器传输级EDA- Electronic Design Automation 电子设计自动化MDIO- Management Data Input/Output 数据输入输出管理HDL-Hardware Description Language 硬件描述语言TPG- Test Pattern Generator 测试向量生成器BFM-Bus Function Model 总线功能模型DUT-Design Under Test 测试对象RM-Reference Model 参考模型VE-Verification Engine 检测器FCS-Frame Check Sequence 帧检验序列CPU-Central Processing Unit 中央处理单元FIFO-First In First Out 先进先出11 绪论1.1 选题背景与课题意义随着网络技术的不断发展,以太网 1技术诞生近 30 年来,经受住了令牌环 2,令牌总线 3等其他局域网技术的冲击,逐步确立了自己在局域网 4领域的地位。目前,近八成的网络都采用的是以太网技术。同时,随着技术的不断成熟与进步,以太网的应用范围也不再局限于局域网,基于以太网的接入网技术也得到了广泛的应用。以太网的速度从最初的 10Mbps 发展到现在的100Mbps,1000Mbps,1Gbps 甚至 10Gbps,这使得以太网应用在城域网甚至广域网中也成为了可能 5。按照 OSI(Open System Interconnection)七层网络模型 6,以太网技术的关键在于物理层和数据链路层,其中数据链路层包括媒体接入控制 MAC(Medium Access Control)子层和逻辑链路控制 LLC(Logical Link Control)子层,MAC子层主要包含与接入到传输媒体有关的内容。实际应用中,LLC 子层一般被省略,对应于不同的物理层介质,MAC 层所需要的修改相对较小,因此对于以太网 MAC 层协议的研究有着重要意义。随着制造工艺的不断提升,集成电路的集成度也越来越高,相同面积可以集成更多的元件 7,但是这也使得制造一片芯片所需要的时间和成本相应的提高了。传统 ASIC8产品高昂的掩膜成本,已经成为阻碍集成电路继续发展的一个制约因素 9。这些给 FPGA10提供了很好的发展机遇,FPGA 产品的开发周期短,成本低,正迅速成为中低端产品的首要选择 11。综上,在 FPGA 上设计实现以太网 MAC 协议,并将其应用到以太网的相关应用中,是有一定的实际意义的 12。1.2 课题来源本课题来源于“千兆网络数据包监控系统

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

最新文档

评论

0/150

提交评论