模块组合逻辑电路.ppt_第1页
模块组合逻辑电路.ppt_第2页
模块组合逻辑电路.ppt_第3页
模块组合逻辑电路.ppt_第4页
模块组合逻辑电路.ppt_第5页
已阅读5页,还剩126页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第三模块第三模块 组合逻辑电路组合逻辑电路 本模块内容本模块内容 教学目的、重点和难点教学目的、重点和难点 3.1 3.1 SSISSI组合电路的分析和设计方法组合电路的分析和设计方法 3.2 3.2 中规模集成组合逻辑电路中规模集成组合逻辑电路 3.3 3.3 组合电路中的冒险组合电路中的冒险 实训二实训二 实训三实训三 学习要点:学习要点: 组合电路的分析方法和设计方法 利用数据选择器和可编程逻辑器件进行 逻辑设计的方法 加法器、编码器、译码器等中规模集成电路 的逻辑功能和使用方法 返回返回 数字电路分类:组合逻辑电路和时序逻辑 电路。 组合逻辑电路: 任意时刻的输出仅仅取决 于当时的输入信号,而与电路原来的状态无关 。 内容提要 小规模集成电路(SSI)构成组合逻辑电路的一 般分析方法和设计方法。 常用组合逻辑电路的基本工作原理及常用中规 模集成(MSI)组合逻辑电路的逻辑功能、使用方 法和应用举例。 一、一、 组合逻辑电路的分析方法组合逻辑电路的分析方法 第一节第一节 SSISSI组合逻辑电路的分析和设计组合逻辑电路的分析和设计 小规模集成电路是指每片在十个门以下的集成 芯片。 所谓组合逻辑电路的分析,就是根据给定 的逻辑电路图,求出电路的逻辑功能。 1. 分析的主要步骤如下: (1)由逻辑图写表达式; (2)化简表达式; (3)列真值表; (4)描述逻辑功能。 已知逻辑电路已知逻辑电路 确定 逻辑功能逻辑功能 2. 举例说明组合逻辑电路的分析方法 例3-1 试分析图3-1所示电路 的逻辑功能。 逻辑图 1 从输入到输出 逐级写出 逻辑表 达式 化简 2 最简与或 表达式 1 2 最简与或 表达式 3 真值表 4 电路的逻 辑功能 3 4 当输入A、B、 C中有2个或3 个为1时,输 出Y为1,否则 输出Y为0。所 以这个电路实 际上是一种3 人表决用的组 合电路:只要 有2票或3票同 意,表决就通 过。 返回返回 例3-2:组合电路如图所示,分析该电路 的逻辑功能。 解: 逻辑图 1 从输入到输出 逐级写出 逻辑表 达式 化简 2 最简与或 表达式 3 真值表电路的逻辑功能 电路的输出Y只与输入A、B有 关,而与输入C无关。Y和A、B的 逻辑关系为:A、B中只要一个为0 ,Y=1;A、B全为1时,Y=0。所以 Y和A、B的逻辑关系为与非运算的 关系。 用与非门实现 4 返回返回 例3-3 分析图3-3所示电路的逻辑功能。 图图3-3 3-3 例例3-23-2逻辑电路图逻辑电路图 解:为了方便写表达式,在图中标注中 间变量,比如F1、F2和F3。 S 例3-3真值表 该电路实现两个一 位二进制数相加的功能 。S是它们的和,C是向 高位的进位。由于这一 加法器电路没有考虑低 位的进位,所以称该电 路为半加器。根据S和C 的表达式,将原电路图 改画成图3-2(b)所示 的逻辑图。 逻辑图 例3-4:组合电路如图所示,分析该电路的逻 辑功能。 解:(1)由逻辑图逐级写出逻辑表达式。为了 写表达式方便,借助中间变量P。 (2)化简与变换: (3)由表达式列出真值表。 (4)分析逻辑功能 : 当A、B、C三个变量不 一致时,电路输出为 “1”,所以这个电路称 为“不一致电路”。 二二 组合逻辑电路的设计方法组合逻辑电路的设计方法 与分析过程相反,组合逻辑电路的设计是根 据给定的实际逻辑问题,求出实现其逻辑功能的 最简单的逻辑电路。 由实际由实际 逻辑问题逻辑问题 确定 实现逻辑实现逻辑 功能的电路功能的电路 1、设计组合逻辑电路的原则和一般步骤: 用门电路(SSI)设计的原则: (1)门最少,而且各门的输入端数目也最少。 (2)门的种类尽可能一样。 用功能模块(MSI)设计的原则: (1)功能模块个数最少,品种也最少。 (2)功能模块之间连线少。 1)组合逻辑电路设计原则 (1)逻辑抽象: 2) 组合逻辑电路的设计步骤: a)分析因果关系:确定输入、输出变量。 (2)列出真值表。 b)定义逻辑状态:确定0,1的意义。 (3)写出逻辑函数式。 SSI:化为最简式、然后变换为适当的形式。 (4)逻辑函数式化简或变换: MSI:变换为适当的MSI形式。 (5)画出电路连接图。 2. 组合逻辑电路设计方法举例。 例3-5 一火灾报警系统,设有烟感、温感和 紫外光感三种类型的火灾探测器。为了防止误报警 ,只有当其中有两种或两种以上类型的探测器发出 火灾检测信号时,报警系统产生报警控制信号。设 计一个产生报警控制信号的电路。 解:(1)逻辑抽象,设输入输出变量并逻辑赋 值; 输入变量:烟感A 、温感B,紫外线光感C; 输出变量:报警控制信号Y。 逻辑赋值:用1表示肯定,用0表示否定。 (2)列真值表; 把逻辑关系转换成数字表示形式; (3) 由真值表写逻辑表 达式; (4)化简得最简式: 例3-5 的逻辑电路图 (5) 画逻辑电路图: 如果作以下变换: 用一个与或非门加一个非门就可以实现, 其逻辑电路图如图3-3所示。 例3-6 某工厂有某工厂有A A、B B、C C三个车间和一个自备三个车间和一个自备 电站,站内有两台发电机电站,站内有两台发电机 X X 和和 Y Y 。X X 的容量是的容量是 Y Y 的的 两倍。如果一个车间开工,只需两倍。如果一个车间开工,只需 Y Y 运行即可满足要运行即可满足要 求;如果两个车间开工,只需求;如果两个车间开工,只需 X X 运行,如果三个车运行,如果三个车 间同时开工,则间同时开工,则 X X 和和 Y Y 均需运行。试画出控制均需运行。试画出控制 X X 和和 Y Y 运行的逻辑图。运行的逻辑图。 (1) (1) 根据逻辑要求根据逻辑要求, ,进行逻辑抽象进行逻辑抽象 首先假设逻辑变量、逻辑函数取首先假设逻辑变量、逻辑函数取“0 0”、 “1 1”的含义的含义。 设:设:A A、B B、C C分别表示三个车间的开工状态:分别表示三个车间的开工状态: 开工为“1”,不开工为“0”; X 和 Y 运行为“1”,不运行为“0”。 (2) (2) 根据逻辑要求列真值表根据逻辑要求列真值表 逻辑要求:如果一个车逻辑要求:如果一个车 间开工,只需间开工,只需 Y Y 运行即运行即 可满足要求;如果两个车可满足要求;如果两个车 间开工,只需间开工,只需 X X 运行,运行, 如果三个车间同时开工,如果三个车间同时开工, 则则 X X 和和 Y Y 均需运行。均需运行。 开工开工“1”“1”不开工不开工“0”“0” 运行运行“1”“1”不运行不运行“0” “0” 1 0 1 0 0 1 0 1 0 0 1 1 1 0 0 1 1 0 1 1 1 0 0 0 A B C X Y (3) (3) 由真值表写出逻辑式由真值表写出逻辑式 1 0 1 0 0 1 0 1 0 0 1 1 1 0 0 1 1 0 1 1 1 0 0 00 1 1 1 0 0 1 0 A B C X Y 1 0 0 0 1 1 0 1 (4) (4) 化简逻辑式得化简逻辑式得 : 或由卡图诺可得相同结果或由卡图诺可得相同结果 ABC00 1 0 011110 1 111 由逻辑表达式画出由逻辑表达式画出 卡诺图,由卡图诺可卡诺图,由卡图诺可 知,该函数不可化简知,该函数不可化简 。 A BC 00 1 0 011110 11 11 用用“ “与非与非” ”门构成逻辑电路门构成逻辑电路 (5) (5) 画出逻辑图画出逻辑图 A BC A B C & & & & XY 例3-7 裁判判定电路:举重比赛,设有一名主裁判 和两名副裁判,当主裁判和至少一名副裁判判定合格, 运动员的动作方为成功。 解:1.逻辑抽象:令设A为主裁 判,B、C为两名副裁判,判定合 格为1,不合格为0。运动员的动 作成功与否用变量F 表示,成功为 1 ,不成功为0 。 2.列真值表如下: 3. 写出逻辑函数式: F 0 0 0 0 0 1 1 1 A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 5. 画逻辑图: F B C A & & & 4.化简: 例38设计一个三输入一 输出的奇偶校验电路。 解:(1)逻辑抽象 设定三输入为A、B、C, 对应输出为F,当输入ABC 中有奇数个“1”时,输 出F为“1”,其余输入状 态对应输出为“0”。 (2)列逻辑真值表, 。 例38的真值表 输输 入输输出 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 0 1 1 1 1 (3)写出逻辑函数式: (4)化简逻辑函数表达式 (5)画出逻辑电路图如图。 A B C F 例38的逻辑电路图 返回返回 人们为解决实践上遇到的各种逻辑问题,设计 了许多逻辑电路。然而,我们发现,其中有些逻辑 电路经常、大量出现在各种数字系统当中。为了方 便使用,各厂家已经把这些逻辑电路制造成中规模 集成的组合逻辑电路产品。 比较常用的有编码器、译码器、数据选择器、 加法器和数值比较器等等。下面分别进行介绍。 第二节第二节 中规模集成组合逻辑电路中规模集成组合逻辑电路 一、加法器 1 1 0 1 1 0 0 1 + 0 1 1 0 1 0 0 1 1 两个二进制数相加时,有两种情况:一种不考虑低位 来的进位,另一种考虑低位来的进位。加法器也因此分 为半加器和全加器。 两个4 位二进制数相加的过程: 加数 本位 的和 向高 位的 进位 不考虑低位进位,将两个1位二进制数A、B相加的器 件称为半加器。 半加器的真值表 逻辑表达式 逻辑图及逻辑符号 1. 半加器(Half Adder) Ai、Bi 加数、被加数; Ci-1 低位来的进位; Si 本位的和; Ci 向高位的进位。 设: 全加器 能进行加数、被加数和低位来的进位信 号相加,得到求和结果和向高位的进位信号的器 件。 2. 全加器(Full Adder) 全加器的真值表 逻辑表达式 逻辑图 111 011 101 001 110 010 100 11 10 10 01 10 01 01 00000 CiSiCi-1BiAi 全加器真值表 3. 3. 多位数加法器多位数加法器 1)串行进位加法器-采用四个1位全加器串联组成 如何实现两个四位二进制数相加? A3 A2 A1 A0 + B3 B2 B1 B0 =? 低位的进位信号送给邻近高位作为输入信号,任一位的加法 运算必须在低一位的运算完成之后才能进行。 串行进位加法器运算速度不高。 2)快速加法器、超前进位加法器 进位输入是由专门的“进位门”综合所有低位 的加数、被加数及最低位进入输入后来提供。 换言之,该电路能使每位的进位直接由加数和 被加数直接产生,而无需等待与低位的进位信号, 称之为“快速加法器”或”超前进位加法器 ”。 74LS283电路是一个超前进位集成4位加法器, 可实现两个四位二进制数的相加,其逻辑符号 如图3-23所示。 74 LS283电路的逻辑符号 CI是低位的进位, CO是向高位的进位, A3A2A1A0和B3B2B1B0是两个二进制待加数, S3、S2、S1、S0是对应各位的和。 74LS283引脚图 3) 超前进位加法器74LS283的应用 例1 用两片74LS283构成一个8位二进制数加法器。 在片内是超前进位,而片与片之间是串行进位。 多位加法器除了可以实现加法运算功能之 外,还可以实现组合逻辑电路。 图3-24 由74LS283构成的代码转换电路 8421BCD码 0011 余3码 例2:将8421BCD码转换成余3码。 余3码8421BCD码3(即0011) 4. 二-十进制加法器 修正条件 生活中常用十进制数及文字、符号等表示事物。 数字电路只能以二进制信号工作。 编码器 译码器 二、二、 编码器编码器 ( (Encoder)Encoder) 编码:赋予二进制代码特定含义的过程称为编码。 如:8421BCD码中用1000表示数字8 编码器:具有编码功能的逻辑电路。 编码器的逻辑功能:能将每一组输入信息变换为相 应二进制的代码输出。 如4线-2线编码器:将输入的4个状态分别编成 4个2位二进制数码输出; 如8-3编码器:将输入的8个状态分别编成8个 3位二进制数码输出; 如BCD编码器:将10个输入分别编成10个4位 8421BCD码输出。 对M个信号编码时,应如何确定位数N? N位二进制代码可以表示多少个信号? 例:对101键盘编码时,采用几位二进制代码 ? 编码原则:N位二进制代码可以表示2N个信号 ,则对M个信号编码时,应由2 2 N N M M来确定位数N。 例:对101键盘编码时,采用了7位二进制代码 ASC码。27128101。 普通编码器:任何时候只允许一个编码输入信号有 效,否则输出就会发生混乱。 优先编码器:允许同时输入两个以上的有效编码信 号。当同时输入几个有效编码信号时,优先编码器 能按预先设定的优先级别,只对其中优先权最高的 一个进行编码。 编码器的分类: 普通编码器 优先编码器 (2)功能表 输 入输 出 S0S1S2S3S4S5S6S7S8S9ABCDGS 111111111100000 111111111010011 111111110110001 111111101101111 111111011101101 111110111101011 111101111101001 111011111100111 110111111100101 101111111100011 011111111100001 该编码器为输入低电平有效 1. 键盘输入8421BCD码编码器 输出有 效标志 2. 优先编码 器 优先编码器的提出: 如果有两个或更多输入信号有效,将会出现输 出混乱。 必须根据轻重缓急,规定好这些外设允许操作的 先后次序,即优先级别。 识别多个编码请求信号的优先级别,并进行相应 编码的逻辑部件称为优先编码器。 引脚图 示意框图 1)优先编码器74148的示意框图、引脚图 3) 优先编码器74148的逻辑功能表 输 入输 出 EI01234567A2A1A0GSEO HHHHHH LHHHHHHHHHHHHL LLLLLLH LLHLLHLH LLHHLHLLH LLHHHLHHLH LLHHHHHLLLH LLHHHHHHLHLH LLHHHHHHHHLLH LLHHHHHHHHHHLH EI=1,电路不工作,GS = EO =1, A2 A1 A0 =111 EI=0,电路工作,无有效低电平输入, A2 A1 A0 =111, GS = 1,EO=0 ; EI=0,电路工作,输入07分别有低电平输入时, A2 A1 A0为07的编码输出,GS =0 , EO =1。 3) 集成电路编码器74148的应用 例4.1.1 用二片74148构成16位输入、4位二进制码输出的优 先编码器如图所示,试分析其工作原理。 I0 I1 I2 I3 I4 I5 I6 I7 I8I9 I10 I11 I12 I13 I14 I15 EO EI 74148() 74148() A0 A1 A2 A0 A1 A2 GS GS2 GS 1 GS & A & B & C & GS D EI 2 EO2 EO 1 EI1 1 1 1 I0 I1 I2 I3 I4 I5 I6 I7 I8I9 I10 I11 I12 I13 I14 I15 EO EI 74148() 74148() A0 A1 A2 A0 A1 A2 GS GS2 GS 1 GS & A & B & C & GS D EI 2 EO2 EO 1 EI1 1 0 有编码请求 1 I8 I15 I0 I1 I2 I3 I4 I5 I6 I7 I8I9 I10 I11 I12 I13 I14 I15 EO EI 74148() 74148() A0 A1 A2 A0 A1 A2 GS GS2 GS 1 GS & A & B & C & GS D EI 2 EO2 EO 1 EI1 0 无编码请求 00 I0 I7 图3-6 用74LS148接成的16线4线优先编码器 优先权 最高 (2)片无有效 编码请求时才 允许(1)片编码 编码输出的最高位编码输出为原码 二、二、 译码器译码器 译码: 编码的逆过程,将编码时赋予代码的 特定含义“翻译”出来。 译码器: 实现译码功能的电路。 常用的译码器有二进制译码器、二-十 进制译码器和显示译码器等。 二进制代码原来信息编码对象 编码译码 1. 二进制译码器 二进制译码器输入输 出满足:m=2n 译码输入 译码输出 A1 A0 Y0 Y1 Y2Y3 0 0 1 0 0 0 0 1 0 1 0 0 1 0 0 0 1 0 1 1 0 0 0 1 2位二进制译码器 如: 38译码器 译码输入 译码输出 A1 A0 A0 A1 A2 A3 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 2位二进制译码器 译码输入:n位二进制代码 译码输出 m位: 一位为1,其余为0 或一位为0,其余为1 每个输出仅包含一个 最小项。 输 入输出 SA1A0Y0Y1Y2Y3 11111 0000111 0011011 0101101 0111110 1). 2线 - 4线译码器 功能表逻辑图 输出逻 辑函数表 达式 2) 38译码器74LS138 译码输入端 输出端 负逻辑 与非门 为便于理解功能 而分析内部电路 (1)逻辑电路 八个输出端,低电平有效。 译码状态下,相应输出端 为,说明是反码输出。每一个输出相当于3变量的全部最小 项取反。 禁止译码状态下,输出均为 S1、 使能输入, 与逻辑。 EN = 1( EN=0 ,禁止译码,输出均为 )译码 A0 A2 (2)逻辑符号 译码输入,二进制编码 0 - 8 依次对应8个输出 (3). 74LS138的功能表 译中为0 高电平 有效 低电平 有效 禁止 译码 译 码 工 作 (4)由状态表写输出逻辑表达式 返回目录 小结:74LS138的逻辑功能 三个译码输入端(又称地址输入端)A2、 A1、A0,八个译码输出端 ,以及三个控 制端(又称使能端) 、 、 。 、 、 是译码器的控制输入端,当 = 1、 + = 0 (即 = 1, 和 均为0)时,译 码器处于工作状态。否则,译码器被禁止,所有 的输出端被封锁在高电平。 S1 S2S3S1S3S2 S1 S2S3 Y0Y7 S1S2 S3 当译码器处于工作状态时,每输入一个二进制 代码将使对应的一个输出端为低电平,而其它输出端 均为高电平。也可以说对应的输出端被“译中”。 74LS138输出端被“译中”时为低电平,所以其 逻辑符号中每个输出端 上方均有“”符号 。 Y0Y7 应用举例 例1 功能扩展(利用使能端实现) 图3-9 用两片74LS138译码器构成4线16线译码器 A3 =0时,片工作,片禁止 A3 =1时,片禁止,片工作 扩展位 控制 使能端 (2) 实现组合逻辑函数F(A,B,C) 比较以上两式可知,把3线8线译码器 74LS138地址输入端(A2A1A0)作为逻辑函数的输入 变量(ABC),译码器的每个输出端Yi都与某一个 最小项mi相对应,加上适当的门电路,就可以利用 译码器实现组合逻辑函数。 例3-4 试用74LS138译码器实现逻辑函数: 解:因为 则 因此,正确连接控制输入端使译码器处于工作 状态,将 、 、 、 、 经一个与非门输出 ,A2、A1、A0分别作为输入变量A、B、C,就可实现组 合逻辑函数。 Y1YY YY 图3-10 例3-4电路图 例 3:试用 CT74LS138和与非门构成一位全加器。 解:全加器的最小项表达式应为 Si = Ci+1 = 利用每一个输出相当于3 变量的全部最小项取反。 2.2.二二- -十进制译码器十进制译码器 二十进制译码器的逻辑功能是将输入的 BCD码译成十个输出信号。 图3-11 二十进制译码器74LS42的逻辑符号 表3-7 二-十进制译码器74LS42的功能表 译中 为0 拒绝 伪码 3 3、显示译码器、显示译码器 在数字测量仪表和各种数字系统中,都 需要将数字量直观地显示出来,一方面供人 们直接读取测量和运算的结果,另一方面用 于监视数字系统的工作情况。 数字显示电路是数字设备不可缺少的部 分。数字显示电路通常由显示译码器、驱动 器和显示器等部分组成,如图3-12所示。 图3-12 数字显示电路的组成方框图 1). 1). 数字显示器件数字显示器件 数字显示器件是用来显示数字、文字或者 符号的器件,常见的有辉光数码管、荧光数码 管、液晶显示器、发光二极管数码管、场致发 光数字板、等离子体显示板等等。本书主要讨 论发光二极管数码管。 (1 1)发光二极管()发光二极管(LEDLED)及其驱动方式 及其驱动方式 LED具有许多优点,它不仅有工作电压低 (1.53V)、体积小、寿命长、可靠性高等优点 ,而且响应速度快(100ns)、亮度比较高。 一般LED的工作电流选在510mA,但不允许 超过最大值(通常为50mA)。 LED可以直接由门电路驱动。 图(a)是输出为低电平时,LED发光,称为低电平驱动低电平驱动; 图(b)是输出为高电平时,LED发光,称为高电平驱动高电平驱动; 采用高电平驱动方式的TTL门最好选用OCOC门门。 图3-13 门电路驱动LED (a) 低电平驱动 (b) 高电平驱动 R为限流电阻 图3-14 七段显示LED数码管 (a) 外形图 (b) 共阴型 (c) 共阳型 (2) (2) LEDLED数码管数码管 LED数码管又称为半导体数码管,它是由多个 LED按分段式封装制成的。LED数码管有两种形式: 共阴型和共阳型共阴型和共阳型。 公共阴极 公共阳极 高电平驱动 低电平驱动 图3-15 七段数码管字形显示方式 2)2)七段显示译码器七段显示译码器 (1)七段字形显示方式 LED数码管通常采用图3-15所示的七段字形显 示方式来表示0-9十个数字。 (3)七段LED数码显示器的显示原理: a b c d e f g dp a b c d e f g GND GND dp 符号和引脚 a b c d e f g 共阴极 R 8 dp R= 1K 5V 直流 电源 a b c d e f g dp a b c d e f g GND GND dp 显示数字1 1 R 5V 直流 电源 a b c d e f g dp a b c d e f g GND GND dp R R 显示数字2 2 R 5V 直流 电源 a b c d e f g dp a b c d e f g GND GND dp R R R 显示数字3 3 3)集成显示译码器74LS48 (1)引脚排列图 (2)功能表 (3)辅助端功能 (4)数码显示电路的动态灭零 74LS47 七段显示译吗器功能表 数据选择:在通道选择信 号的作用下,将多个通道 的数据分时传送到公共的 数据通道上去。 数据选择器:是指能实现数据选择功能的逻辑电 路。它的作用相当于多个输入的单刀多掷开关, 又称“多路开关” 。 通道选择 数据输出 I0 I1 1n 2 I- 四、四、 数据选择器数据选择器 1 1、4 4选选1 1数据选择器数据选择器 4 选 1 数据选择器 4 路数据 输入端 2 位地址 码输入端 使能信号输 入端,低电 平有效 1路数据输 出端 1)逻辑电路 0 0 D0D1D2D3 0 11 01 1=1 0 输 入输出 使能地址 SA1A0Y 10 000D0 001D1 010D2 011D3 =0 功能表 2 2)数据选择器工作原理及逻辑功能表)数据选择器工作原理及逻辑功能表 2. 82. 8选选1 1数据选择器数据选择器7474LS151LS151 8 路数据 输入端 3 个地址 输入端 1个使能 输入端 2个互补 输出端 74LS151的逻辑图 1) 8选1数据选择器74LS151的逻辑图 74LS151功能框图74LS151引脚图 2) 742) 74LS151LS151示意框图和引脚图示意框图和引脚图 74LS151 D7 Y Y S D6 D5 D4 D3 D2 D1 D0 A2A1A0 3)743)74LS151LS151的功能表的功能表 输输 入输输 出 使 能选选 择择YY SA2A1A0 1XXX01 0000D0 0001D1 0010D2 0011D3 0100D4 0101D5 0110D6 0111D7 当S=0时,Y的表达式为: 当S=1时,Y=0 。 无效输 出 。 4) 84) 8选选1 1数据选择器数据选择器7474LS151LS151的应的应 用用 (1)数据选择 器通道的扩展: 16选1数据选择器: 数据输入端:16路 通道地址码:4位。 16选1数据选择器 16选1数据选择 器的连接 (2) 用数据选择器实现逻辑函数 基本原理 数据选择器的主要特点数据选择器的主要特点 : (1)具有标准与或表达式的形式。即 : (2)提供了地址变量的全部最小项 。 (3)一般情况下,Di可以当作一个变量处理 。 因为任何组合逻辑函数总可以用最小项之和的标准形 式构成。所以,利用数据选择器的输入Di来选择地址变量 组成的最小项mi,可以实现任何所需的组合逻辑函数。 a、将函数变换成最小项表达式 c、地址信号A2、A1、A0作为函数的输入变量 d、数据输入D0D7作为控制信号 组成函数产生器的一般步骤 b、将使能端S接低电平 例3-5 试用八选一电路实现 解:将A、B、C分别从A2、A1、A0输入,作为输入变量,把Y 端作为输出 F。因为逻辑表达式中的各乘积项均为最小项,所以可以改写为 根据八选一数据选择器的功能,令 1当逻辑函数的变量个数和数据选择器的地址输入变量的个数相同时,将 变量和地址码对应相连,这时,可直接用数据选择器来实现逻辑函数。 具体电路见图 公式法 求Di的方法 D0 = D3 =D5 =D7 =1 D1 = D2 =D4 =D6 =0 S0 求Di的方法(2)真值表法 列出逻辑函数F 和8选1数据选择 器输出逻辑函数Y 的真值表. 比较F和Y的真 值表.设F=Y、 A=A2、B=A1、 C=A0,对比两个 真值表后得: 画连线图 D0=D3=D5=D7=1 D1=D2=D4=D6=0 S=1 D0 = D3 =D5 =D7 =1 D1 = D2 =D4 =D6 =0 S0 求Di的方法(3)图形法 画出逻辑函数F和8选1数据选择器输出逻辑函数Y的卡若图. 比较F和Y的卡若图.设F=Y、 A=A2、B=A1、C=A0,对比两个卡若图后得 : 基本步骤 确定数据选择器 确定地址变量 2 1 n个地址变量的数 据选择器,不需 要增加门电路, 最多可实现n1 个变量的函数。 3个变量,选用4选1 数据选择器。 A1=A、A0=B 逻辑函数 1 选用74LS153 2 74LS153有两个地 址变量。 2当逻辑函数的变量个数多于数据选择器的地址输入变量的个时, 应分离出多余的变量用数据替代,将余下的变量分别有序的加到 数据选择器的地址输入端上 求Di 3 (1)公式法 函数的标准与或表达式 : 4选1数据选择器输出信号的表达式 : 比较L和Y,得 : 3 画连线图 4 4 求Di的 方法 (2)真值表法 C=1时L=1, 故D0=C L=0,故 D2=0 L=1,故 D3=1 C=0时L=1, 故D1=C 求Di的 方法 (3)图形法 D0 =CD3=1D2=0D1=C CD 1 1 1 0 10 0 0 1 1 11 0 0 1 1 01 0 1 0 1 00 10 11 01 00 AB D0=D D1=D D6=1D7=0 D3=0 D5=1D4=D D2=1 例 用数据选择器实现函数: 选用8选1数据选择器74LS151 设A2=A、A1=B、A0=C 求Di 画连线图 数据分配器:相当于有多个输出的单刀多掷开关 ,将从一个数据源来的数据分时送到多个不同的 通道上去的逻辑电路。 数据分配器示意图 五、五、 数据分配器数据分配器 4路输出分配器逻辑图 数 据 输 入 端 控制端 逻辑式 返回目录 Y2 Y3 输出端 4路输出分配器功能表 控 制 输输 出 A1 A0 Y3 Y2 Y1 Y0 0 0 0 1 1 0 1 1 0 0 0 D 0 0 D 0 0 D 0 0 D 0 0 0 返回目录 用译码器实现数据分配器 00 输 入输 出 S1S2S3CBAY0Y1Y2Y3Y4Y5Y6Y7 00XXXX11111111 10D000D1111111 10D0011D111111 10D01011D11111 10D011111D1111 10D1001111D111 10D10111111D11 10D110111111D1 10D1111111111D 74138译码器作为数据分配器时的功能表 数据分配器的应用 例:利用数据选择器和分配器实现信息的“并行串 行并行”传送。 由译码器连 成的数据 分配器 0 0 0 0 1 1 0 译码 禁止译码 0 1 传送端 接收端 六、六、 数值比较器数值比较器 输 入输 出 ABFABFA B0 A0 B1 FA=BFABA0 B0A1 B1 输 出输 入 表4.4.2 当高位(A1、B1)不相等时,无需比较低位(A0、B0),两 个数的比较结果由高位比较的结果决定。 当高位相等时,两数的比较结果由低位比较的结果决定。 FAB = (A1B1) + ( A1=B1)(A0B0) FA=B=(A1=B1)(A0=B0) FABIABFA B3100 A3 B2100 A3 = B3A2 B1100 A3 = B3A2 = B2A1 B0100 A3 = B3A2 = B2A1 = B1A0 B0010 A3 = B3A2 = B2A1 = B1A0 = B0100100 A3 = B3A2 = B2A1 = B1A0 = B0010010 A3 = B3A2 = B2A1 = B1A0 = B0001001 4 位数值比较器74LS85功能表 用两片7485组成8位数值比较器(串联扩展方式)。 低位片 高位片 低四位高四位 输出 在位数较多且要满足一定的速度要求时采取并联方 式,它比串联扩展方式工作速度快。 2. 集成数值比较器的位数扩展 型 号名 称主 要 功 能 74LS14710线-4线优先编码器 74LS1488线-3线优先编码器 74LS1498线-8线优先编码器 74LS424线-10线译码器BCD输入 74LS1544线-16线译码器 74LS46七段显示译码器BCD输入、开路输出 74LS47七段显示译码器BCD输入、开路输出 74LS48七段显示译码器BCD输入、带上拉电阻 74LS49七段显示译码器BCD输入、OC输出 74LS15016选1数据选择器反码输出 74LS1518选1数据选择器原、反码输出 74LS153双4选1数据选择器 74LS2518选1数据选择器原、反码输出,三态 74LS854位数值比较器 74LS8668位数值比较器 型 号名 称主 要 功 能 CC4014710线-4线优先编码器BCD输出 CC45328线-3线优先编码器 CC4555双2线-4线译码器 CC45144线-16线译码器有地址锁存 CC4511七段显示译码器锁存输出、BCD输入 CC4055七段显示译码器BCD输入、驱动液晶显示 器 CC4056七段显示译码器BCD输入、有选通、锁存 CC4519四2选1数据选择器 CC45128路数据选择器 CC40634位数值比较器 CC4014710线-4线优先编码器BCD输出 返回返回 第三节第三节 组合电路中的竞争冒险组合电路中的竞争冒险 1 1、产生竞争冒险的原因、产生竞争冒险的原因 在组合电路中,当输入信号的状态改变时,输出端可 能会出现不正常的干扰信号,使电路产生错误的输出,这 种现象称为竞争冒险。 产生竞争冒险的原因:主要是门电路的延迟时间产生的。 干扰信号 2 2、消除竞争冒险的方法、消除竞争冒险的方法 有圈相切,则有竞争冒险 增加冗余项, 消除竞争冒险 返回返回 实实 训训 二二 简单抢答器的电路与试验简单抢答器的电路与试验 1. 1. 实训目的实训目的 2. 2. 实训设备和器件实训设备和器件 (1 1) 了解集成逻辑门电路的结构特点。了解集成逻辑门电路的结构特点。 (2 2) 体验由基本逻辑门电路实现复杂逻辑关系的一般方法。体验由基本逻辑门电路实现复杂逻辑关系的一般方法。 (3 3) 学会集成门电路的使用及逻辑电平的测量。学会集成门电路的使用及逻辑电平的测量。 (4 4) 建立组合逻辑电路的基本概念。建立组合逻辑电路的基本概念。 实训设备:实训设备:数字集成电路测试仪数字集成电路测试仪1 1台、逻辑笔台、逻辑笔1 1支、直流稳压电源支、直流稳压电源 1 1台、万用表台、万用表1 1块。块。 实训器件:实训器件:双四输入与非门双四输入与非门7474LS20 2LS20 2片、六反相器片、六反相器7474LS05LS05(OCOC 门)门)1 1片、发光二极管片、发光二极管4 4只、只、5.15.1K K电阻电阻4 4个、个、500500电阻电阻4 4 个、按钮开关个、按钮开关4 4个、导线若干。个、导线若干。 3. 3. 实训电路和原理实训电路和原理 (1 1)电路组成:)电路组成: 实训电路如图所示,电路中标出的实训电路如图所示,电路中标出的7474LS20LS20为双为双4 4输入端输入端 与非门(与非门(“门门”的概念将在实训总结中给出),的概念将在实训总结中给出), 7474LS05LS05为为6 6非门非门 。 (2 2)电路原理:电路原理:这是用基本门电路构成简易型四人抢答器。这是用基本门电路构成简易型四人抢答器。 A A 、 B B 、 C C 、 D D 为抢答为抢答 操作开关。任何一个人先将某一开关按下且保持闭合状态,则与其对应的发光操作开关。任何一个人先将某一开关按下且保持闭合状态,则与其对应的发光 二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按 下,与其对应的发光二极管则不亮。下,与其对应的发光二极管则不亮。 4. 4. 实训步骤与要求实训步骤与要求 检测检测ICIC 用数字集成电路测试仪测试用数字集成电路测试仪测试ICIC的好坏。如果的好坏。如果ICIC上的字迹模糊,上的字迹模糊, 型号显示不清楚,通过自动扫描检测的方式可以检测其型号型号显示不清楚,通过自动扫描检测的方式可以检测其型号 。 连接线路连接线路 (1 1)熟悉电路板。电路可以连接在自制的)熟悉电路板。电路可以连接在自制的PCB(PCB(印刷电路板印刷电路板) )上,也可以焊接上,也可以焊接 在万能板上,或通过在万能板上,或通过“面包板面包板”插接。无论采用哪种电路板,在连接电路插接。无论采用哪种电路板,在连接电路 之前,都必须首先对电路板的结构、特点有足够的认识。特别是第一次使之前,都必须首先对电路板的结构、特点有足够的认识。特别是第一次使 用用“面包板面包板”,必须事先掌握它的使用方法。,必须事先掌握它的使用方法。 (2 2)熟悉器件。如果将)熟悉器件。如果将ICIC芯片正面朝上,开口朝前,则芯片正面朝上,开口朝前,则ICIC引脚编号按逆时针引脚编号按逆时针 方向排列,左前方第方向排列,左前方第1 1个引脚的编号为个引脚的编号为1 1。数字电路中。数字电路中ICIC的电源往往不在电的电源往往不在电 路中标出,一般情况下,左下方最后一个引脚为电源路中标出,一般情况下,左下方最后一个引脚为电源“”,编号最大的,编号最大的 引脚(右前方第一个引脚)为电源引脚(右前方第一个引脚)为电源“”。在使用中,必须正确识别。在使用中,必须正确识别ICIC的的 引脚。引脚。 (3 3)按正确方法插好)按正确方法插好ICIC芯片,参照图芯片,参照图2.12.1连接线路。连接线路。 (4 4)通电前要认真检查线路。注意:)通电前要认真检查线路。注意:ICIC芯片电源的正、负端连接是否正确;芯片电源的正、负端连接是否正确; 电源连线是否反接;电路板各管脚或焊点之间是否短路。待检查无误后方电源连线是否反接;电路板各管脚或焊点之间是否短路。待检查无误后方 可通电。可通电。 操作与调试操作与调试 (1 1)通电后,分别按下)通电后,分别按下 A A 、 B B 、 C C 、 D D 各键,观察对应指示灯各键,观察对应指示灯 是否点亮。是否点亮。 (2 2)当其中某一指示灯点亮时,再按其他键,观察其他指示)当其中某一指示灯点亮时,再按其他键,观察其他指示 灯的变化。灯的变化。 (3 3)在进行()在进行(1 1)、()、(2 2)操作步骤时,分别测试)操作步骤时,分别测试ICIC芯片输入、芯片输入、 输出管脚的电平变化,并完成表输出管脚的电平变化,并完成表2.12.1所示内容。表中,所示内容。表中, A A 、 B B 、 C C 、 D D 表示按键开关,表示按键开关,”表示开关动作无效;表示开关动作无效; L L1 1 、 L L2 2 、 L L3 3 、 L L4 4 表表 示示4 4个指示灯。按键闭合或指示灯亮用个指示灯。按键闭合或指示灯亮用“1”1”表示,开关断开或表示,开关断开或 指示灯灭用指示灯灭用“0”0”表示。表示。 5. 5. 实训总结与分析实训总结与分析 (1 1)实训中采用了两种不同信号的数字集成电路,其中,)实训中采用了两种不同信号的数字集成电路,其中, 7474LS20LS20可以实现双可以实现双4 4 输入信号与非的逻辑关系;输入信号与非的逻辑关系; 7474LS05LS05为为6 6非门可以实现非逻辑关系,称为非门,也称为非门可以实现非逻辑关系,称为非门,也称为 反相器。反相器。 与第与第1 1章实训电路相比,逻辑门电路具有结构简单,体积小章实训电路相比,逻辑门电路具有结构简单,体积小 、重量轻、容易实现等特点。、重量轻、容易实现等特点。 (2 2)电路中对逻辑事件的是与否,用电路的电平高低来表示)电路中对逻辑事件的是与否,用电路的电平高低来表示 。表示逻辑事件的这种电信号只有高、低电平两种状态,故称。表示逻辑事件的这种电信号只有高、低电平两种状态,故称 为开关信号。用为开关信号。用“1”1”和和“0”0”两个符号来表示高低电平。如用两个符号来表示高低电平。如用 “1”1”表示高电平,表示高电平,“0”0”表示低电平则称为表示低电平则称为正逻辑电路正逻辑电路,反之,反之 称为负逻辑电路。称为负逻辑电路。 在数字电路中,如采用实训中使用的称为在数字电路中,如采用实训中使用的称为TTLTTL的集成器的集成器 件,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论