可编程逻辑器件应用--实验指导书.doc_第1页
可编程逻辑器件应用--实验指导书.doc_第2页
可编程逻辑器件应用--实验指导书.doc_第3页
可编程逻辑器件应用--实验指导书.doc_第4页
可编程逻辑器件应用--实验指导书.doc_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

可编程逻辑器件应用实验指导书2010.31目录实验一Quartus软件与DE2平台的操作流程.2实验二全加器的设计.11实验三七段译码显示电路设计.13实验四脉冲分频选择电路设计.15实验五100进制同步计数器设计.17实验六汽车尾灯控制电路设计.19实验七十字路口交通灯控制器设计.20实验八智力竞赛抢答器的设计.22附件1:DE2开发板引脚说明.242实验一Quartus软件与DE2平台的操作流程一、实验目的1、熟悉Quartus软件的使用方法;2、通过简单的实例,了解使用Quartus进行CPLD/FPGA设计的流程;二、实验设备PC机一台,Altera公司DE2教学实验套件一套三、实验原理数字系统设计系列实验是建立在数字电路基础上的一个更高层次的设计性实验。它是借助可编程逻辑器件(PLD),采用在系统可编程技术(ISP),利用电子设计自动化软件(EDA),在计算机(PC)平台上进行的。1DE2教学实验套件(一)、实验用到主要硬件配置:AlteraCycloneII系列的EP2C35F672C6FPGA,内含35000个逻辑单元。七段共阳LED数码管8个HEX0HEX7;9个绿色LED灯LEDG0LEDG8;18个红色LED灯LEDR0LEDR17;50MHz晶体振荡器和27MHz振荡器2个时钟源;18个拨码开关SW0SW17;4个按键开关KEY0KEY3;平台通过插座J8接入直流9V供电,SW18为电源开关;编程插座:USB编程输入插座支持JTAG模式和AS模式。(二)、实验板编程/下载1、下载电缆的连接1)USB电缆插头端接计算机USB口,另一端接DE2的J9编程插座,打开DE2平台的电源2)将SW19置于RUN位置。2、软件编程操作1)选择QuartusII菜单下的ToolsProgrammr项.2)如果显示没有硬件,则单击HardwareSetup按钮,打开硬件设置窗口。3)双击USBBlaster,然后单3击CLOSE按钮,完成硬件设置。4)如果.sof文件不在文件列表中,则单击AddFile按钮,添加该文件。5)确认DEVICE项列出的是EP2C35F672,选中Program/Configue选项。6)单击Start按钮,开始编程。(三)、实验流程图四、实验内容通过一个简单的实例来演示如何使用QuartusII在PLD器件上做一个完整的逻辑设计。我们将在PLD上实现一个三人表决器的逻辑。三人表决,以少数服从多数为原则,多数人同意则议案通过,否则议案被否决。这里,我们使用三个按键代表三个参与表决的人,置“0”表示该人不同意议案,置“1”表示该人同意议案;两个指示灯用来表示表决结果,LED1点亮表示议案通过,LED2点亮表示议案被否决。真值表如下:S1S2S3LED1LED20000100101010010111010001101101101011110下面我们就具体来实现这一设计。1.双击桌面上QuartusII的图标,启动QuartusII软件。图1QuartusII设计流程图42通过File=NewProjectWizard菜单命令启动新项目向导。3在随后弹出的对话框上点击Next按钮,继续。4.在Whatistheworkingdirectoryforthisproject栏目中设定新项目所使用的路径;在Whatisthenameofthisproject栏目中输入新项目的名字:vote,点击Next按钮。5.在这一步,向导要求向新项目中加入已存在的设计文件。因为我们的设计文件还没有建立,所以点击Next按钮,跳过这一步56.在这一步选择器件的型号。Family栏目设置为Cyclone,选中SpecificdeviceselectedinAvailabledeviceslist选项,在Availabledevice窗口中选中所使用的器件的具体型号,这里以EP2C35F672C6N为例。点击Next按钮,继续。7.在这一步,可以为新项目指定综合工具、仿真工具、时间分析工具。在这个实验中,我使用QuartusII6.0的默认设置,直接点击Next按钮,继续。68.确认相关设置,点击Finish按钮,完成新项目创建。9.接下来,我们建立一个原理图文件,并加入该项中来。在File菜单下,点击New命令。在随后弹出的对话框中选择VHDLFile选项,点击OK按钮。在File菜单下选择SaveAs命令,将其保存,并加入到项目中。10.根据上面的真值表,做卡诺图简化,可以得出:LED1=S1S2+S1S3+S2S3,LED2=LED1。在如下文本编辑框中写入其VHDL如下,并保存文件名为vote.vhd13.在Assignments菜单下,点击Pins命令,启动PinPlanner工具。在这个工具中,我们可以为我们的电路的端子分配器件的引脚。在AllPins表格中,双击NodeName列7的newnode单元,输入端子的名字。在同一行的Location列,选择要分配的位置。重复操作,为每个端子都分配适当的脚位。14.在Assignments菜单下,点击Device命令。在随后弹出的对话框中点击Device&PinOptions按钮,进入Device&PinOptions对话框。切换到configuration页,在ConfigurationDevice栏目中,选中useconfigurationdevice选项,配置器件型号选择EPCS16,同时,选中Generatecompressedbitstreams选项。15.切换到UnusedPins页,在Reservedallunusedpins栏目中,选择Asinputtri-stated。因为我们的设要在开发板上演示,所以把没有用到的管脚设为输入,以避免与开发板上其它电路发生冲突。点击确定按钮,确认设置。回到Seting对话框,点击OK按钮。816.在Processing菜单下,点击StartCompilation命令,开始编译我们的项目。编译结束后,点击确定按钮。17.在把我们的设计下载到开发板上验证之前,我们可以先做一下仿真。首先,我们要建立一个输入波形文件。仿真工具会用到该文件,以确

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论