FPGA简单的组合逻辑电路设计实验报告.doc_第1页
FPGA简单的组合逻辑电路设计实验报告.doc_第2页
FPGA简单的组合逻辑电路设计实验报告.doc_第3页
FPGA简单的组合逻辑电路设计实验报告.doc_第4页
FPGA简单的组合逻辑电路设计实验报告.doc_第5页
已阅读5页,还剩2页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

上海电力学院实验报告实验课程名称: FPGA应用开发试验 实验项目名称: 简单的组合逻辑电路设计班 级: 姓名: 学号: 成绩:_ 实验时间: 一、 实验目的1、 掌握组合逻辑的设计方法。2、 掌握组合逻辑电路的静态测试方法。3、 加深PLD设计的过程,并比较原理图输入和文本输入的优劣。二、 实验原理根据第三章学习的VHDL硬件描述语言来设计一定功能的电路。三、 实验步骤1、 四舍五入判别电路设计一个四舍五入判别电路,其输入为8421BCD码,要求当输入大于或等于5时,判别电路输出为1,反之为0。其VHDL描述语言为:时序仿真波形为:2、 控灯电路设计四个开关控制一盏灯的逻辑电路,要求合任一开关,灯亮;断任一开关,灯灭。其VHDL描述语言为:功能仿真波形为:时序仿真波形:引脚分配:程序下载:之后在DE2上验证,实验结果与设计要求一致。3、 优先排队电路设计一个优先排队电路,排队优先顺序依次为A,B,C要求输出端最高只能有一端为“1”,即只能为优先级较高的输入端对应的输出端为“1”。其VHDL描述语言为:功能仿真波形为:时序仿真波形:引脚分配:程序下载:在DE2上验证,实验结果与设计要求一致。实验连线:1、四位拨码开关连d0,d1,d2,d3信号对应的管脚。 Out1输出信号管脚接LED灯。2、四位按键开关分别连k0,k1,k2,k3信号对应的管脚。 y输出信号管脚接LED灯。3、a ,b , c信号对应管脚分别连三个按键开关。输出y1,y2,y3信号对应的管脚分别连三个LED灯。五、实验小结通过本次试验,我掌握了组合逻辑的基本设计方法。能够按照电路功能要求编写出基本的VHDL硬件描述语言,能合理的分配输入输出引脚,并能够在DE2上验证编写程序是否符合电路设计要求。当然,一开始又有对软件使用不熟练,也出现了一些问题,

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论