计算机组成原理答案(张功萱等编著)终极完整版.doc_第1页
计算机组成原理答案(张功萱等编著)终极完整版.doc_第2页
计算机组成原理答案(张功萱等编著)终极完整版.doc_第3页
计算机组成原理答案(张功萱等编著)终极完整版.doc_第4页
计算机组成原理答案(张功萱等编著)终极完整版.doc_第5页
已阅读5页,还剩23页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

整理人:杜鹏作业解答第一章 作业解答1.3 冯诺依曼计算机的基本思想是什么?什么叫存储程序方式?答:冯诺依曼计算机的基本思想包含三个方面:1) 计算机由输入设备、输出设备、运算器、存储器和控制器五大部件组成。2) 采用二进制形式表示数据和指令。3) 采用存储程序方式。存储程序是指在用计算机解题之前,事先编制好程序,并连同所需的数据预先存入主存储器中。在解题过程(运行程序)中,由控制器按照事先编好并存入存储器中的程序自动地、连续地从存储器中依次取出指令并执行,直到获得所要求的结果为止。1.4 早期计算机组织结构有什么特点?现代计算机结构为什么以存储器为中心?答:早期计算机组织结构的特点是:以运算器为中心的,其它部件都通过运算器完成信息的传递。随着微电子技术的进步,人们将运算器和控制器两个主要功能部件合二为一,集成到一个芯片里构成了微处理器。同时随着半导体存储器代替磁芯存储器,存储容量成倍地扩大,加上需要计算机处理、加工的信息量与日俱增,以运算器为中心的结构已不能满足计算机发展的需求,甚至会影响计算机的性能。为了适应发展的需要,现代计算机组织结构逐步转变为以存储器为中心。1.8 衡量计算机性能有哪些基本的技术指标?以你所熟悉的计算机系统为例,说明它的型号、主频、字长、主存容量、所接的I/O设备的名称及主要规格。答:衡量计算机性能的基本技术指标主要有:1. 基本字长2. 主存容量3. 运算速度4. 所配置的外部设备及其性能指标5. 系统软件的配置还有可靠性、可用性、可维护性、以及安全性、兼容性等性能指标。1.9单选题(1)1946年,美国推出了世界上第一台电子数字计算机,名为_A_。A. ENIAC B. UNIVAC-I C. ILLIAC-IV D. EDVAC(2)在计算机系统中,硬件在功能实现上比软件强的是_C_。A. 灵活性强 B. 实现容易 C. 速度快 D. 成本低(3)完整的计算机系统包括两大部分,它们是_ C _。A. 运算器与控制器 B. 主机与外设C. 硬件与软件 D. 硬件与操作系统(4)在下列的描述中,最能准确反映计算机主要功能的是_ D _。A. 计算机可以代替人的脑力劳动B. 计算机可以存储大量的信息C. 计算机是一种信息处理机D. 计算机可以实现高速运算(5)存储程序概念是由美国数学家冯诺依曼在研究_ D _时首先提出来的。A. ENIAC B. UNIVAC-I C. ILLIAC-IV D. EDVAC(6)现代计算机组织结构是以_ B _为中心,其基本结构遵循冯诺依曼思想。A. 寄存器 B. 存储器 C. 运算器 D. 控制器(7)冯诺依曼存储程序的思想是指_ C _。A. 只有数据存储在存储器B. 只有程序存储在存储器C. 数据和程序都存储在存储器D. 数据和程序都不存储在存储器 1.10填空题(1)计算机CPU主要包括 和_ _两个部件。答: 运算器 控制器 (2)计算机的硬件包括 、_ _、_ _、_ _和_ _等5大部分。答: 运算器 控制器 存储器 输入设备 输出设备(3)计算机的运算精度与机器的 有关,为解决精度与硬件成本的矛盾,大多数计算机使用_ _。答: 字长 变字长运算 (4)从软、硬件交界面看,计算机层次结构包括 和_ _两大部分。答: 实机器 虚机器 (5)计算机硬件直接能执行的程序是 程序,高级语言编写的源程序必须经过_ _翻译,计算机才能执行。答: 机器语言 语言处理程序 (6)从计算机诞生起,科学计算一直是计算机最主要的 。答: 应用领域 (7)银河I(YH-I)巨型计算机是我国研制的 。答: 第一台巨型计算机 1.11是非题(1)微处理器可以用来做微型计算机的CPU。 含义不清(2)ENIAC计算机的主要工作原理是存储程序和多道程序控制。 (3)决定计算机运算精度的主要技术指标是计算机的字长。 (4)计算机总线用于传输控制信息、数据信息和地址信息的设施。 (5)计算机系统软件是计算机系统的核心软件。 (6)计算机运算速度是指每秒钟能执行操作系统的命令个数。 (7)计算机主机由CPU、存储器和硬盘组成。 (8)计算机硬件和软件是相辅相成、缺一不可的。 第二章 作业解答2.2 分别计算用二进制表示4位、5位、8位十进制数时所需要的最小二进制位的长度。答: 4位十进制数的最大数为9999,2138192999921416384表示4位十进制数所需的最小二进制位的长度为14位。 5位十进制数的最大数为99999,216655369999217131072表示5位十进制数所需的最小二进制位的长度为17位。 8位十进制数的最大数为99999999,2266710886499999999227134217728表示8位十进制数所需的最小二进制位的长度为27位。根据当i位十进制数与j位二进制数比较时的等式,10i = 2j,得j3.3i,亦可得到上述结果。2.6 已知 x 的二进制真值,试求 x补、x补、x/2补、x/4补、2x补、4x补、2x补、x/4补。(设机器采用定点小数,字长为8位,若结果不存在或出错,请注明)(1) x0.0101101 (2) x0.1001011(3) x1 (4) x0.00010102.7 根据题2.7表中给定的机器数(整数),分别写出把它们看作原码、反码、补码、移码表示形式时所对应的十进制真值。2.8 设十进制数x(124.625)210(1) 写出x对应的二进制定点小数表示形式。(2) 若机器的浮点数表示格式为:其中阶码和尾数的基数均为2。 写出阶码和尾数均采用原码表示时的机器数形式。 写出阶码和尾数均采用补码表示时的机器数形式。解: (1)x对应的二进制定点小数表示形式为:1111100.1012100.1111100101230.0001111100101(2) 阶码和尾数均采用原码表示时的机器数形式:0 10011 1111100101000000 1001 1111 1100 1010 000009FCA0H 阶码和尾数均采用补码表示时的机器数形式:0 11101 1111100101000000 1110 1111 1100 1010 00000EFCA0H2.9 设某机字长为16位,数据表示格式为:分别写出该机在下列的数据表示形式中所能表示的最小正数、最大正数、最大负数、最小负数(绝对值最大的负数)和浮点规格化最小正数、最大负数在机器中的表示形式和所对应的十进制真值。(1) 原码表示的定点整数; (2) 补码表示的定点整数;(3) 阶码与尾数均用原码表示的浮点数; (4) 阶码与尾数均用补码表示的浮点数;(5) 阶码为移码、尾数用补码表示的浮点数。解:2.11 用十六进制写出下列十进制数的IEEE754标准32位单精度浮点数的机器数的表示形式。(1) 0.15625 (2) 0.15625 (3) 16 (4) 5解:(1)(0.15625)10(0. 00101)21.0123阶码E127(3)124(1111100)201111100机器数形式:0 01111100 01000000000000000000000十六进制形式:3E200000H(2) (0.15625)10(0. 00101)21.0123阶码E127(3)124(1111100)201111100机器数形式:1 01111100 01000000000000000000000十六进制形式:BE200000H(3) (16)10(10000)21.000024阶码E1274131(10000011)2机器数形式:0 10000011 00000000000000000000000十六进制形式:41800000H(4) (5)10(101)21.0122阶码E1272129(10000001)2机器数形式:1 10000001 01000000000000000000000十六进制形式:C0A00000H2.13 写出下列十六进制的IEEE单精度浮点数代码所代表的十进制数值。(1) 42E48000 (2) 3F880000 (3) 00800000 (4) C7F00000解:(1) 42E480000 10000101 11001001000000000000000指数(10000101)21271331276M1.110010010000000000000001(1/21/41/321/256)十进制数值N1(1/21/41/321/256)26114.25(2) 3F8800000 01111111 00010000000000000000000指数(01111111)21271271270M1.0001000000000000000000011/161.0625十进制数值N1.0625201.0625(3) 008000000 00000001 00000000000000000000000指数(00000001)21271127126M1.00000000000000000000000十进制数值N12126(4) C7F000001 10001111 11100000000000000000000指数(10001111)212714312716M1. 111000000000000000000001(1/21/41/8)1.875十进制数值N(216215214213)152131228801.8752162.14 设有两个正浮点数:1e112SN=,2e222SN=(1) 若e1e2,是否有N1N2(2) 若S1、S2均为规格化数,上述结论是否正确?答:(1)不一定(2)正确2.15 设一个六位二进制小数x0.a1a2a3a4a5a6,x0,请回答:(1) 若要x81,a1a2a3a4a5a6需要满足什么条件?(2) 若要x21,a1a2a3a4a5a6需要满足什么条件?(3) 若要41x161,a1a2a3a4a5a6需要满足什么条件?解:(1)要x81,a1a2a3a4a5a6需要满足:a1a2a3至少有一个1(2)要x21,a1a2a3a4a5a6需要满足:a11,且a2a3a4a5a6至少有一个为1(不为全0)(3)要41x161,a1a2a3a4a5a6需要满足:a10且 a21,a3a4a5a6为全0 a20且a31,a4a5a6任意或a20且a30,a41,a5a6至少有一个为12.17 分别用前分隔数字串、后嵌入数字串和压缩的十进制数串形式表示下列十进制数。(1) 74 (2) 639 (3) 2004 (4) 8510解:(1) 74前分隔数字串742B3734“+”“7”“4”后嵌入数字串743734“7”“4”压缩的十进制数串740000011101001100“0”“7”“4”“+”(2) 639前分隔数字串6392D363339“-“6”“3”“9”后嵌入数字串639363379“6”“3”“9”压缩的十进制数串6390110001110011101“6”“3”“9”“-”(3) 2004前分隔数字串20042B32303034“+”“2”“0”“0”“4”后嵌入数字串200432303034“2”“0”“0”“4”压缩的十进制数串2004000000100000000001001100“0”“2”“0”“0”“4”“+”(4) 8510前分隔数字串85102D38353130“-“8”“5”“1”“0”后嵌入数字串851038353170“8”“5”“1”“0”压缩的十进制数串8510000010000101000100001101“0”“8”“5”“1”“0”“-”2.19 什么是“码距”?数据校验与码距有什么关系?答:码距是指在一组编码中任何两个编码之间最小的距离。数据校验码的校验位越多,码距越大,编码的检错和纠错能力越强。2.21 下面是两个字符(ASCII码)的检一纠一错的海明校验码(偶校验),请检测它们是否有错?如果有错请加以改正,并写出相应的正确ASCII码所代表的字符。(1) 10111010011 (2) 10001010110解:(1) 指误字为E1P1A6A5A3A2A01111011E2P2A6A4A3A1A00101110E3P4A5A4A311011E4P8A2A1A000110得到的指误字为E4E3E2E10101(5)10,表示接收到的海明校验码中第5位上的数码出现了错误。将第5位上的数码A51取反,即可得到正确结果 10110010011。正确ASCII码所代表的字符为1001011“K”。(2) 指误字为E1P1A6A5A3A2A01011100E2P2A6A4A3A1A00001100E3P4A5A4A301010E4P8A2A1A001100得到的指误字为E4E3E2E10000,无错。正确ASCII码为0101110“”2.22 试编出8位有效信息01101101的检二纠一错的海明校验码(用偶校验)。解:8位有效信息需要用4个校验位,所以检一纠一错的海明校验码共有12位。4个校验位为:P1A7A6A4A3A1010100P2A7A5A4A2A1010100P4A6A5A4A011011P8A3A2A1A011011检一纠一错的海明校验码:0001110111011DDH检二纠一错的海明校验码,增加P0P0P1P2A7P4A6A5A4P8A3A2A1A01有效信息01101101的13位检二纠一错的海明校验码:100011101110111DDH2.23 设准备传送的数据块信息是1010110010001111,选择生成多项式为G(x)100101,试求出数据块的CRC码。解:模2除后,余数R(x)10011,数据块的CRC码:1010110010001111100112.24 某CRC码(CRC)的生成多项式 G(x)x3x21,请判断下列CRC码是否存在错误。(1) 0000000 (2) 1111101 (3) 1001111 (4) 1000110解:G(x)1101(1) 0000000模2除1101,余数为:000,无错(2) 1111101模2除1101,余数为:010,有错(3) 1001111模2除1101,余数为:100,有错(4) 1000110模2除1101,余数为:000,无错2.25 选择题(1) 某机字长64位,其中1位符号位,63位尾数。若用定点小数表示,则最大正小数为 B 。A. (12-64) B. (12-63) C. 264 D. 263(2) 设x补=1.x1x2x3x4x5x6x7x8,当满足 B 时,x1/2成立。A. x11, x2x8至少有一个为1 B. x10, x2x8至少有一个为1C. x11,x2x8任意 D. x10, x2x8任意(3) 在某8位定点机中,寄存器内容为10000000,若它的数值等于128,则它采用的数据表示为 B 。A. 原码 B. 补码 C. 反码 D. 移码(4) 在下列机器数中,哪种表示方式下零的表示形式是唯一的 B 。A. 原码 B. 补码 C. 反码 D. 都不是(5) 下列论述中,正确的是 D 。A. 已知x原求x补的方法是:在x原的末位加1B. 已知x补求x补的方法是:在x补的的末位加1C. 已知x原求x补的方法是:将尾数连同符号位一起取反,再在末位加1D. 已知x补求x补的方法是:将尾数连同符号位一起取反,再在末位加1(6) IEEE754标准规定的32位浮点数格式中,符号位为1位,阶码为8位,尾数为23位,则它所能表示的最大规格化正数为 A 。A. (2223)2127 B. (1223)2127 C. (2223)2255 D. 2127223(7) 浮点数的表示范围取决于 A 。A. 阶码的位数 B. 尾数的位数 C. 阶码采用的编码 D. 尾数采用的编码(8) 在2424点阵的汉字字库中,一个汉字的点阵占用的字节数为 D 。A. 2 B. 9 C. 24 D. 72 (9) 假定下列字符码中有奇偶校验位,但没有数据错误,采用奇校验的编码是 B 。A. 10011010 B. 11010000 C. 11010111 D. 10111000(10) 在循环冗余校验中,生成多项式G(x)应满足的条件不包括 D 。A. 校验码中的任一位发生错误,在与G(x)作模2除时,都应使余数不为0B. 校验码中的不同位发生错误时,在与G(x)作模2除时,都应使余数不同C. 用G(x)对余数作模2除,应能使余数循环D. 不同的生成多项式所得的CRC码的码距相同,因而检错、校错能力相同2.26 填空题(1) 设某机字长为8位(含一符号位),若 x补11001001,则x所表示的十进制数的真值为 ,1/4x补 ;若 y移=11001001,则y所表示的十进制数的真值为 ;y的原码表示y原 。 答: -55 11110010 +73 01001001 (2) 在带符号数的编码方式中,零的表示是唯一的有 和 。答: 补码 移码 (3) 若x1补10110111, x2原1.01101 ,则数x1的十进制数真值是 ,x2的十进制数真值是 。答: -73 -0.71875 (4) 设某浮点数的阶码为8位(最左一位为符号位),用移码表示;尾数为24位(最左一位为符号位),采用规格化补码表示,则该浮点数能表示的最大正数的阶码为 ,尾数为 ;规格化最大负数的阶码为 ,尾数为 。(用二进制编码回答)(书上:最小负数的阶码为 ,尾数为 答: 11111111 011111111111111111111111 11111111 100000000000000000000000 (5) 设有效信息位的位数为N, 校验位数为K,则能够检测出一位出错并能自动纠错的海明校验码应满足的关系是 。答: 2K1NK 2.27 是非题(1) 设x补0.x1x2x3x4x5x6x7,若要求x1/2成立,则需要满足的条件是x1必须为1,x2x7至少有一个为1。 (2) 一个正数的补码和它的原码相同,而与它的反码不同。 (3) 浮点数的取值范围取决于阶码的位数,浮点数的精度取决于尾数的位数。 (4) 在规格化浮点表示中,保持其他方面不变,只是将阶码部分由移码表示改为补码表示,则会使该浮点表示的数据表示范围增大。 (5) 在生成CRC校验码时,采用不同的生成多项式,所得到CRC校验码的校错能力是相同的。 第三章 作业解答作业 三 (1)3.1 已知x补、y补,计算xy补和xy补,并判断溢出情况。(1) x补0.11011 y补0.00011 (2) x补0.10111 y补1.00101(3) x补1.01010 y补1.10001解:(1) x补0.11011 y补0.00011 y补1.111101xy补0.110110.000110.11110xy补0.110111.1111010.11000(2)x补0.10111 y补1.00101 y补0.11011xy补0.101111.001011.11100xy补0.101110.110111.10010 溢出(3)x补1.01010 y补1.10001 y补0.01111xy补1.010101.100010.11011 溢出xy补1.010100.011111.110013.2 已知x补、y补,计算xy变形补和xy变形补,并判断溢出情况。(1) x补100111 y补111100 (2) x补011011 y补110100(3) x补101111 y补011000解:(1)x变形补1100111 y变形补1111100 y变形补0000100xy变形补110011111111001100011xy变形补110011100001001101011(2)x变形补0011011 y变形补1110100 y 变形补0001100 xy变形补001101111101000001111xy变形补001101100011000100111 溢出(3) x变形补1101111 y变形补0011000 y变形补1101000xy变形补110111100110000000111xy变形补110111111010001010111 溢出3.4 分别用原码一位乘法和补码一位乘法计算xy原和xy补。(1) x0.11001 y0.10001 (2) x0.01101 y0.10100(3) x0.10111 y0.11011 (4) x0.01011 y0.11010解:(1)xy原0.0110101001 xy补0.0110101001(2)xy原1.0100000100 xy补1.1011111100(3)xy原1.1001101101 xy补1.0110010011(4)xy原0.0100011110 xy补0.01000111103.5 分别用原码两位乘法和补码两位乘法计算xy原和xy补。(1) x0.11001 y0.10001 (2) x0.10101 y0.01101(3) x0.01111 y0.11101 (4) x0.01001 y0.10010解: (1) xy原0.0110101001 xy补0.0110101001(2)xy原1.0100010001 xy补1.1011101111(3)xy原1.0110110011 xy补1.1001001101(4)xy原0.0010100010 xy补0.00101000103.6 分别用原码不恢复余数法和补码不恢复余数法计算x/y原和x/y补。(1) (4)(1) x0.01011 y0.10110x/y原0.10000 x/y补0.10000 or x/y补0.10001(2) x0.10011 y0.11101x/y原1.10100 x/y补1.01100 or x/y补1.01011(3) x0.10111 y0.11011x/y原0.11100 x/y补0.11101 or x/y补0.11100(4) x10110 y00110x/y原100011 x/y补1111013.9 已知某机浮点数表示格式如下:0 12 56 11数符阶符阶 码尾 数其中,浮点数尾数和阶码的基值均为2,阶码用移码表示,尾数用补码表示。设:x0.1101012001 y0.1001012001试用浮点运算规则计算xy、xy、xy、x/y。(要求写出详细运算步骤,并进行规格化)。解:机器数 x补0 01111 110101 y补1 10001 011011 y补0 10001 100101(1)xy 机器数 xy补1 10000 010000 xy0.11000020对阶: e移ex移ey补011111111101110,eexey00010小阶对大阶:x补0 10001 001101xy补1 10000 010000 xy0.11000020(2)xyxy补0 10001 110010 xy0.11001021(3)xy xy0.11111020010.11111021阶码相加:exey移ex移ey补011110000110000尾数可采用定点补码乘法(双符号位):SxSy补Sx补Sy补11.100001010111规格化:xy补1 01111 000010 xy0.11111020010.11111021(4)x/y尾数|Sx|Sy|,Sx右移得:Sx补00.011010,ex移10000,阶码相减:exey移ex移ey补100001111101111尾数用补码不恢复余数法:Sx/Sy补Sx补Sy补1.010011(恒置1) OR 1.010100(校正)规格化:x/y补1 01111 010011 OR 1 01111 010100x/y0.1011012001 OR 0.10110020013.10A C Cn Cn+1 ALU AND1 寄存器B B B AND2 CR & 移 位 脉 冲 时钟脉冲 CT Q 启动 结束 Cn Cn Cn+1 Cn+100. 0 0 0 0 0 1 0 0 1 1 0 000. 0 0 0 0 0 0 1 0 0 1 1 0x 00. 1 1 0 0 100. 1 1 0 0 100. 0 1 1 0 0 1 0 1 0 0 1 100. 0 0 1 1 0 0 1 0 1 0 0 1x 11. 0 0 1 1 111. 0 1 1 0 111. 1 0 1 1 0 1 0 1 0 1 0 011. 1 1 0 1 1 0 1 0 1 0 1 0x 00. 1 1 0 0 100. 1 0 1 0 0 0 1 0 1 0 1 0得 XY补0.1010001010 XY0.1010001010寄存器ABC运算初态00 0000011 001111001100运算终态00 1010011 0011101010103.11 说明定点补码和浮点补码加减运算的溢出判断方法。答: 定点补码加减运算的溢出判断方法: 根据两个操作数的符号与结果的符号判别溢出:()()ffffffffffsysxsyxsyxOVR 根据两数相加时产生的进位判别溢出:OVRCfC1 根据变形补码运算后的符号判别溢出:sf1sf200,表示结果为正数,无溢出;sf1sf211,表示结果为负数,无溢出;sf1sf201,表示结果为正溢出;sf1sf210,表示结果为负溢出。 浮点补码加减运算的溢出判断方法浮点补码加减运算的溢出通常是指浮点数上溢,浮点数是否溢出是由阶码是否大于浮点数所能表示的最大正阶来判断的。例如,设浮点数的阶码采用补码表示,双符号位,这时浮点数的溢出与否可由阶码的符号进行判断:若阶码 j补01 ,则表示出现上溢,需作溢出处理;符号若阶码 j补10 ,则表示出现下溢,按机器零处理。3.14 利用用十进制加减运算算法计算下列各题:(1) 125436? (2) 125436? (3) 436125?解: (1) 125436561(2) 125436311(3) 4361253113.16 设有一个16位定点补码运算器,数据最低位的序号为1。运算器可实现下述功能:(1) ABA(2) BCA、C(乘积高位在A中)(3) ABC(商在C中)请设计并画出运算器第3位及A、C寄存器第三位输入逻辑。加法器本身逻辑可以不画,原始操作数输入问题可以不考虑。解:见附页3.19 设一个8位寄存器中的内容为十六进制数C5H,连续经过一次算术右移、一次逻辑左移、一次大循环右移、一次小循环左移。写出每次移位后寄存器的内容和进位标志C的状态。解:C5H11000101C 寄存器一次算术右移: 1 11100010一次逻辑左移: 1 11000100一次大循环右移: 0 11100010一次小循环左移: 1 110001013.21 选择题(1) 运算器的核心部分是 C 。A. 数据总线 B. 累加寄存器 C. 算术逻辑运算单元 D. 多路开关(2) 在浮点运算中下面的论述正确的是 C 。A. 对阶时应采用向左规格化B. 对阶时可以使小阶向大阶对齐,也可以使大阶向小阶对齐C. 尾数相加后可能会出现溢出,但可采用向右规格化的方法得出正确结论D. 尾数相加后不可能得出规格化的数(3) 当采用双符号位进行数据运算时,若运算结果的双符号位为01,则表明运算 B 。A. 无溢出 B. 正溢出 C. 负溢出 D. 不能判别是否溢出(4) 补码加法运算的规则是 B 。A. 操作数用补码表示,符号位单独处理B. 操作数用补码表示,连同符号位一起相加C. 操作数用补码表示,将加数变补,然后相加D. 操作数用补码表示,将被加数变补,然后相加(5) 原码乘除法运算要求 C 。A. 操作数必须都是正数 B. 操作数必须具有相同的符号位C. 对操作数符号没有限制 D. 以上都不对(6) 进行补码一位乘法时,被乘数和乘数均用补码表示,运算时 A 。A. 首先在乘数最末位yn后增设附加位yn+1,且初始yn+10,再依照ynyn+1的值确定下面的运算。B. 首先在乘数最末位yn后增设附加位yn+1,且初始yn+11,再依照ynyn+1的值确定下面的运算。C. 首先观察乘数符号位,然后决定乘数最末位yn后附加位yn+1的值,再依照ynyn+1的值确定下面的运算。D. 不应在乘数最末位yn后增设附加位yn+1,而应直接观察乘数的末两位yn1yn确定下面的运算。(7) 下面对浮点运算器的描述中正确的是 A 。A. 浮点运算器由阶码部件和尾数部件实现。B. 阶码部件可实现加、减、乘、除四种运算。C. 阶码部件只能进行阶码的移位操作。D. 尾数部件只能进行乘法和加法运算。(8) 若浮点数的阶码和尾数都用补码表示,则判断运算结果是否为规格化数的方法是 C 。A. 阶符与数符相同为规格化数。 B. 阶符与数符相异为规格化数。C. 数符与尾数小数点后第一位数字相异为规格化数。D. 数符与尾数小数点后第一位数字相同为规格化数。(9) 已知x补1.01010,y补1.10001,下列答案正确的是 D 。A. x补y补1.11011 B. x补y补0.11011 C. x补y补0.11011 D. x补y补1.11001(10) 下列叙述中概念正确的是 D 。A. 定点补码运算时,其符号位不参加运算。B. 浮点运算中,尾数部分只进行乘法和除法运算。C. 浮点数的正负由阶码的正负符号决定。D. 在定点小数一位除法中,为了避免溢出,被除数的绝对值一定要小于除数的绝对值。3.22 填空题(1) 在补码加减运算中,符号位与数据 参加运算,符号位产生的进位 。答: 按同样规则一起 自动丢失 (2) 在采用变形补码进行加减运算时,若运算结果中两个符号位 ,表示发生了溢出。若结果的两个符号位为 ,表示发生正溢出;为 ,表示发生负溢出。答: -55 11110010 +73 01001001(3) 在原码一位乘法的运算过程中,符号位与数值位 参加运算,运算结果的符号位等于 。答: 分别 两操作数的符号的模2加(异或) (4) 浮点乘除法运算的运算步骤包括: 、 、 、 和 。答: 阶码运算 溢出判断 尾数乘除运算 结果规格化处理 舍入处理 (5) 在浮点运算过程中,如果运算结果的尾数部分不是 形式,则需要进行规格化处理。设尾数采用补码表示形式,当运算结果 时,需要进行右规操作;当运算结果 时,需要进行左规操作。答: 规格化 溢出 不是规格化数 (6) 将两个8421BCD码相加,为了得到正确的十进制运算结果,需要对结果进行修正,其修正方法是 。答: 两个8421码相加后,若相加的和数10,则不需修正,按二进制规则相加的结果就是正确的8421码的和数;若相加的和数10,则需在二进制相加的结果上加“0110”进行修正。 (7) 浮点运算器由 和 两部分组成,它们本身都是定点运算器,其中要求能够进行 运算;要求能够进行 运算。答: 阶码部件 尾数部件 加减 加减乘除 (8) 设有一个16位的数据存放在由两个8位寄存器AH和AL组成的寄存器AX中,其中数据的高8位存放在AH寄存器中,低8位存放在AL寄存器中。现需要将AX中的数据进行一次算术左移,其操作方法是:先对 进行一次 操作,再对 进行一次 操作。答: AL 算术左移 AH 带进位循环左移 3.23 是非题(1)运算器的主要功能是进行加法运算。 (2)加法器是构成运算器的主要部件,为了提高运算速度,运算器中通常都采用并行加法器。 (3)在定点整数除法中,为了避免运算结果的溢出,要求|被除数|除数|。 (4)浮点运算器中的阶码部件可实现加、减、乘、除运算。 (5)根据数据的传递过程和运算控制过程来看,阵列乘法器实现的是全并行运算。 (6)逻辑右移执行的操作是进位标志位移入符号位,其余数据位依次右移1位,最低位移入进位标志位。第四章 作业解答4.1 静态MOS存储器与动态MOS存储器存储信息的原理有何不同?为什么动态MOS存储器需要刷新?一般有哪几种刷新方式?答:静态MOS存储器利用一个双稳态触发器存储一个二进制位,只要不断电就可以保持其中存储的二进制数据不丢失。动态MOS存储器使用一个MOS管和一个电容来存储一位二进制信息。用电容来存储信息减少了构成一个存储单位所需要的晶体管的数目。由于动态MOS存储器中的电容会产生漏电,因此DRAM存储器芯片需要频繁的刷新操作。动态存储器的刷新方式通常有:集中式刷新方式、分散式刷新方式、异步式刷新方式4.2 某一64K1位的动态RAM芯片,采用地址复用技术,则除了电源和地引脚外,该芯片还应有那些引脚?各为多少位?解:地址线:采用地址复用技术,可为16/28位数据线:1位;读写线WR/:1位;片选信号CS:1位或 行选通信号RAS:1位;列选通信号CAS:1位4.6 假设某存储器地址长为22位,存储器字长为16位,试问:(1)该存储器能存储多少字节信息?(2)若用64K4位的DRAM芯片组织该存储器,则需多少片芯片?(3)在该存储器的22位地址中,多少位用于选片寻址?多少位用于片内寻址?答:(1)该存储器可存储22222238MB的信息。(2)需要芯片 22216/64210428256(3)22位地址中,16位用于片内寻址,6位用于选片寻址。4.7某8位计算机采用单总线结构,地址总线17根(016-A,16A为高位),数据总线8根双向(07-D),控制信号WR/(高电平为读,低电平为写)。已知该机的I/O设备与主存统一编址,若地址空间从0连续编址,其地址空间分配如下:最低16K为系统程序区,由ROM芯片组成;紧接着48K为备用区,暂不连接芯片;接着60K为用户程序和数据空间,用静态RAM芯片组成;最后4K为I/O设备区。现有芯片如下:Y0 Y1 Y2 Y3 Y4 Y5 Y6 Y6 Y7 D0-D7 D0-D7 A13-A0 A13-A0 CS OE CS WE A B C A B C F & 3-8译码器 16KBRAM 16KBROM ENROM:16k8位,其中CS:为片选信号,低电平有效,OE:为读出控制,低电平读出有效。静态RAM:16K8位,其中CS :为片选信号,低电平有效,WE:为写控制信号,低电平写,高电平读。译码器:38译码器。输出低电平有效。与非门:扇入系数不限。试画出主存芯片连接的逻辑图并写出各芯片地址分配表(假设存储器从0连续进行编址)。答: 共需5片,其中1片16K8 ROM,4片16K8 SRAM 各芯片地址分配表00000H 03FFFH 系统程序区 16KB04000H 0FFFFH 备用区 48KB10000H 1EFFFH 用户程序区和数据空间 60KB1F000H 1FFFFH I/O设备区 4K0 0000 0000 0000 0000 0 0011 1111 1111 1111 A16A15A14000 ROM 1片0 0100 0000 0000 0000 0 1111 1111 1111 1111 备用区1 0000 0000 0000 0000 1 0011 1111 1111 1111 A16A15A14100 16KRAM 第1片1 0100 0000 0000 0000 1 0111 1111 1111 1111 A16A15A14101 16KRAM 第2片1 1000 0000 0000 0000 1 1011 1111 111

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论