电子电工学第09章.ppt_第1页
电子电工学第09章.ppt_第2页
电子电工学第09章.ppt_第3页
电子电工学第09章.ppt_第4页
电子电工学第09章.ppt_第5页
已阅读5页,还剩106页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

2008.2,第 9 章,触发器和 时序逻辑电路,教学基本要求,掌握RS触发器、JK触发器、D触发器的逻辑功能。 理解寄存和移位寄存器的工作原理 理解二进制计数器、二十进制计数器的工作原理。 了解集成定时器的工作原理。了解用集成定时器组成的单稳触发器、多谐振荡器的工作原理 一般了解可编程逻辑阵列 本章讲授学时6学时,课外学时18学时,主要内容,双稳态触发器 时序逻辑电路 集成555定时器 可编程逻辑器件 本章小结,双稳态触发器,概述 基本R-S触发器 同步R-S触发器 J-K触发器 D触发器 T触发器和T触发器 触发器逻辑功能的转换,概述(1),什么是双稳态触发器?,双稳态触发器是具有两个稳定状态(1状态和0状态)的电路。电路的特点是:在外加输入信号的作用下,该电路可以由一种稳定状态翻转(转换)为另一种稳定状态,当外加输入信号消失后,电路能保持翻转后的状态不变,因此,电路可以在两个稳定状态的任意一个状态下稳定工作。保持翻转后的输出状态而稳定工作表明电路具有记忆当前工作状态的能力,所以,我们称输入信号为触发信号,将电路称为双稳态触发器,简称触发器。,概述(2),讨论双稳态触发器的目的,因此我们需要寻找新的、满足系统要求的电路结构具有记忆功能的双稳态触发器电路。,在门电路及其组合逻辑电路中,它的输出变量的状态完全取决于当时的输入变量的组合状态来,而与电路的原来状态无关,也就是说,组合逻辑电路不具有记忆功能不能满足数字系统的需要。,在数字系统中,常常要求电路能实现按一定程序进行运算和操作,这不仅取决电路当时的输入,也与电路在输入信号加入前的状态有关,因此,需要电路具有记忆功能记忆原来已经存在的输出状态。,概述(3),双稳态触发器的类型,常见的双稳态触发器有: 基本R-S触发器 同步R-S触发器 J-K触发器 D触发器 T触发器和T触发器,基本R-S触发器(1),电路的组成,基本RS触发器由两个与非门组成。两个与非门各有一个输出端和输入端交叉连接,形成反馈.,输入端,输出端,基本R-S触发器(2),工作原理,* 输入端,(此时输出端可能Q=1,也可能Q=0),此后即使输入全变为1,输出也不改变,* 输入端,此后即使输入全变为1,输出也不改变,(此时输出端可能Q=1,也可能Q=0),* 输出端,* 输出端,基本R-S触发器(3),工作原理,* 输入端,结果,保持不变,此时输出端如果,保持,1 1,0 1,1 0,1 0,此时输出端如果,0 1,基本R-S触发器(4),工作原理,* 输入端,这时,如果两个输入端同时变为1即,此时与门A、B均有一个输入端为0,故。它们均关闭,输出,则两个与门都将由关闭转为开通,并使输出由1向0转换,如果A门的速度快,则Q=1,反之,则Q=0。也就是说,当两个输入端同时由0变为1时,触发器的输出状态不确定。,不定,保持,基本R-S触发器(5),R-S触发器的逻辑状态表,不定,保持,基本R-S触发器(6),R-S触发器逻辑关系总结,(1)触发器的状态: 触发器的输出有两个稳定状态,触发器处于1状态。,触发器处于0状态。,(2)触发器的置位:,置0,置1,基本R-S触发器(7),R-S触发器逻辑关系总结,(3)触发器的记忆,(4)触发器的翻转条件,触发器在任一时刻的输出不仅与当时的输入有关,而且还与电路原来的状态有关。,用状态表表示输入和输出间的逻辑关系时,必须考虑触发器原来的输出状态。由这样得出的状态表称为逻辑状态转换表。表中用Qn表示原来的输出状态,称为原态,用Qn+1表示触发器的下一个输出状态,称为次态。,触发器在外加输入信号的作用下,输出状态发生变化。此后,若输入信号除去,触发器能保持翻转后的状态不变,即能闩锁在翻转后的0状态或1状态,这就是触发器的记忆功能。,基本R-S触发器(8),R-S触发器逻辑关系总结,RS触发器也可由或非门组成,除非特别指出,本书都采用与非门构成,同步R-S触发器(1),构成思路:,基本RS触发器的缺点在于:输入端的信号一旦发生变化,输出随之发生变化,而无法在时间上加以控制。而在实际使用中,我们总是希望触发器的输出能由我们来控制。即用一脉冲信号作为开关信号,当脉冲信号出现时,触发器才能够翻转,翻转后的输出状态仍然取决于输入端电平的高低;在没有脉冲信号时,即使输入信号发生变化,输出状态也不改变。,同步R-S触发器(1),构成思路:,根据这一思想,我们把两个起控制作用的与非门C和D按图示方式与基本RS触发器相连,构成同步RS触发器。,R、S数据输入端,CP时钟脉冲输入端,同步R-S触发器(2),电路的特点,所谓同步,就是指触发器状态的改变只发生在时钟脉冲CP出现的时刻,即数字系统中的各个触发器受同一个时钟脉冲的控制而步调一致的工作。,同步R-S触发器(3),同步RS触发器的直接置位,直接置0输入端,直接置1输入端,它们不受时钟脉冲的同步控制,所以也称为异步输入端。在不需要对触发器直接置0或置1时,应使它们处于高电位。,同步R-S触发器(4),同步RS触发器的钟控工作原理,设:触发器的初始状态为:,CP=0时,触发器保持原态,0,1,CP=1时,CP Qn R S Qn+1,1 1 1 1 1 1 11,0,1,0,1,1,0,同步R-S触发器(5),同步RS触发器的钟控工作原理,由以上分析可见列出同步RS出发器的逻辑状态表为:,同步R-S触发器(6),同步RS触发器的钟控工作原理,于是可写出逻辑关系表达式,如果在时钟脉冲的上升沿时,R=S=1,将会使C、D门同时输出0,导致上面的基本RS触发器出现RD SD同时为0的情况,这时,触发器的输出状态将为不定。所以,使用时,不允许出现R=S=1的情况。,同步R-S触发器(7),同步R-S触发器存在的问题空翻现象,触发器的主要用途之一就是计数,处于计数状态的触发器,每来一个计数脉冲,其状态就应该改变一次。,右图为接成计数器的同步R-S触发器,工作情况分析,设每个与非门的平均传输延迟时间为tpd。且设触发器的现在状态为0状态(即:Q=0,Q=1),经技术鉴定,当CP=1时,经2tpd以后,Q由0变成1,再经过1tpd以后,Q由1变成0。即Qn+1=1。也就是说,要同步RS出发器能可靠的翻转,时钟脉冲的宽度必须大于3tpd。,同步R-S触发器(8),同步RS触发器存在的问题空翻现象,但是,当CP脉冲的宽度大于3tpd后,再经过3tpd触发器又会翻转回到原来的0状态。显然,当CP脉冲的持续时间较长,触发器就会不停的多次翻转,达不到计数的目的,这就是所谓的“空翻”现象。这个问题限制了同步RS触发器在实际工作中的应用。,改进措施,对电路的结构进行了改造,形成主从结构和边沿触发结构的触发器,以提高电路的抗干扰能力和克服空翻的产生。,J-K触发器(1),电路的组成,JK触发器由两个基本R-S组成,两个触发器的时钟脉冲通过一个非门联系起来。工作时,时钟脉冲的上升沿先使下面的触发器(主触发器)翻转,而后其下降沿使上面的触发器(从触发器)翻转,这种工作方式的触发器称为主从型结构JK触发器。,逻辑符号,J-K触发器(2),工作情况分析,在CP脉冲到来之后,即CP=1时,由于非门输出为“0”,根据同步R-S触发器的工作原理,从触发器的输出不变。至于主触发器的状态是否改变,要看从触发器的现在状态和J、K输入端的状态而定。,J-K触发器(3),工作情况分析,当CP从“1”变为“0”时,主触发器的状态不变,这时,因为非门输出为1,主触发器的输出信号送到从触发器,使从触发器的输出与主触发器相同。,可见,在下一个CP脉冲的下降沿到来之前,JK触发器的状态将保持不变,这就从根本上解决了“空翻”的问题。,J-K触发器(4),主从型JK触发器的逻辑功能分析,设在CP脉冲到来之前,触发器处于0状态,*当J=1,K=1时:,因为,所以,CP脉冲到来后,即CP=1时,主触发器的S=1,R=0故,主触发器翻转为1状态。,当CP脉冲由“1”变为“0”时,从触发器也翻转为1状态。,J-K触发器(5),主从型JK触发器的逻辑功能分析,反之。设触发器的初始状态为“1”态,*当J=1,K=1时:,因为,所以,CP脉冲到来后,即CP=1时,主触发器的S=0,R=1故,主触发器翻转为0状态。,当CP脉冲由“1”变为“0”时,从触发器也翻转为1状态。,可见:JK触发器在J=K=1的情况下,来一个脉冲,状态翻转一次,具有计数的功能。,J-K触发器(6),主从型JK触发器的逻辑功能分析,设触发器的初始状态为“0”态,*当J=0,K=0时:,因为,在CP脉冲到来时,主触发器的状态不变,故在CP的下降沿到来时,从触发器也保持不变。反之亦然。,因此,在J=K=0时,时钟脉冲过后,触发器保持原来状态不变。,J-K触发器(7),主从型JK触发器的逻辑功能分析,设触发器的初始状态为“0”态,*当J=1,K=0时:,因为,主触发器输出为1,时钟脉冲过后,从触发器输出为1。,设触发器的初始状态为“1”态,因为,主触发器和从触发器保持1,J-K触发器(8),主从型JK触发器的逻辑功能分析,设触发器的初始状态为“0”态,*当J=0,K=1时:,因为,主触发器和从触发器保持输出为1。,设触发器的初始状态为“1”态,因为,主触发器输出为0,从触发器也输出0,J-K触发器(9),主从型JK触发器的状态表,由上述分析可见,主从触发器是在CP=1时,将输入信号暂存在主触发器中,为从触发器翻转或保持原态做好准备;到CP脉冲的下降沿到来时,让从触发器动作。因此,它具有在时钟脉冲的后沿翻转的特点。我们称其为后沿触发,并在逻辑符号中用小圆圈表示。,J-K触发器(10),主从型JK触发器的状态表,由上述分析可见,主从触发器是在CP=1时,将输入信号暂存在主触发器中,为从触发器翻转或保持原态做好准备;到CP脉冲的下降沿到来时,让从触发器动作。因此,它具有在时钟脉冲的后沿翻转的特点。我们称其为后沿触发,并在逻辑符号中用小圆圈表示。,JK触发器的逻辑关系为:,J-K触发器(11),例题分析,已知JK触发器的CP和J K的波形如图,划出输出Q的波形。,D触发器(1),电路的组成,如果在同步RS触发器中将与非门D的输入端和与非门C的输出端c相连,则在同步RS触发器中也能避免出现S=R=1的情况。这时,我们把与非门C的输入端称为D,并称该触发器为同步D触发器。,D触发器(2),工作原理,当CP脉冲未出现时,与非门C、D均关闭,其输出c=d=1。当时钟脉冲上升沿出现时CP=1,此时,如果D=1,则c=0,d=1。触发器的输出为:,如果D=0,则c=1,d=0。触发器的输出为,D触发器(3),工作原理,可见:不论输入端D的状态如何,时钟脉冲的上升沿出现后,触发器输出端的状态总是和输入端D 的状态相同。,维持阻塞D触发器的逻辑状态表为,D触发器(4),存在的问题及解决办法,在同步D触发器中,如果在CP保持高电平期间,D的状态发生变化,则输出也将发生变化,但在实际应用中,往往要求在一个CP脉冲期间,触发器状态只能翻转一次。为此,通常将D触发器改为维持阻塞型结构,称为维持阻塞D触发器。,维持阻塞D触发器的特点:对应每一个时钟脉冲,维持阻塞D触发器的输出状态,只在时钟脉冲的上升沿出现时变化一次。,维持阻塞D触发器的逻辑关系为:Qn+1=Dn,D触发器(5),画波形图举例,已知CP脉冲和D输入的波形如下,试画出输出Q的波形。,T触发器和T触发器(1),构成与状态表,如果把JK触发器的JK端接在一起,就构成所谓的T触发器,如图所示。,由JK触发器的逻辑状态表可得出T触发器得逻辑状态表如下:,(后沿翻转),可见,当T=1时,只要有时钟脉冲的下降沿,触发器就翻转,所以,有时也把工作在T=1状态的触发器称为T触发器。,触发器逻辑的转换(1),1.将JK触发器转换为D触发器,D触发器的逻辑关系为,(后沿翻转),转换状态表,T触发器和T触发器(3),2.将D触发器转换为T触发器,如果将维持阻塞D触发器的D端和 相连,就构成T触发器,它的逻辑功能是每来一个脉冲就翻转一次。,具有计数的功能。,时序逻辑电路,时序逻辑电路概述 计数器 寄存器,时序逻辑电路概述,时序逻辑电路的特点 时序逻辑电路的组成 时序逻辑电路的分析,时序逻辑电路的特点,时序逻辑电路在逻辑功能上的特点,任一时刻的稳定输出不仅决定于该时刻的输入,而且还和电路原来的输出状态有关。 具备这种逻辑功能特点的电路,叫做时序逻辑电路,简称时序电路。,1.通常时序电路由组合电路和存储电路两部分组成。因时序电路必然具有记忆功能,所以存储电路必不可少。而触发器是构成存储电路的基本单元。 2.存储电路的输出必然反馈到到组合逻辑电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。,时序逻辑电路在电路结构上的特点,时序逻辑电路的组成,时序逻辑电路的结构框图,用输入信号和电路状态(状态变量)的逻辑函数来描述时序电路逻辑功能的方法叫时序机。,以向量函数表示,则,Y=FX,Q Z=GX,Q Qn+1=HZ,Qn,时序逻辑电路的分析,分析方法与步骤:,1.从给定的逻辑图中,分析每个触发器的工作状态和翻转条件。 2. 分析电路的每一个状态方程,列出状态表。 3. 根据时序逻辑电路的状态表写出电路的逻辑函数,从而分析电路的逻辑关系。,时序逻辑电路的分析,根据时钟脉冲是否同时加到所有触发器电路,有同步时序电路和异步时序电路之分,分析同步时序电路的一般步骤: 从给定的逻辑图写出每个触发器的驱动方程(存储电路中每个触发器输入信号的逻辑函数式)。 把所得的驱动方程代入相应触发器的特性方程,得出每个触发器的状态方程,从而得到由这些状态方程组成的整个时序电路的状态方程组。 根据逻辑图写出电路的输出方程。,时序逻辑电路的分析,根据时钟脉冲是否同时加到所有触发器电路,有同步时序电路和异步时序点之分,在异步时序电路中,所有的触发器并非共用同一个时钟信号,所以每次电路状态发生转换时,并不是所有触发器都有时钟信号。因此分析时首先要找出哪些触发器有时钟信号,哪些没有时钟。有时钟作用的触发器才可以按特性方程计算次态,而无时钟作用的触发器则保持原状态不变。,时序逻辑电路的分析,例1:时序电路见下图。写出它的驱动方程、状态方程和输出方程,分析其逻辑功能。FF1FF3为主从JK触发器、下降沿动作。输入端悬空时等同逻辑1.,输出方程,代入JK触发器的特性方程,状态方程,时序逻辑电路的简单分析,例2:JK触发器连接如图。已知A、B信号波形,求输出端Q的波形。设Q初态为0。,代入JK触发器特性方程,得:,若原Q=0,则CP作用后Qn+1= ;若原Q=1,则CP作用后Qn+1= 。,计数器,概述 二进制计数器 十进制计数器,计数器(1),概述,触发器的用途之一也就是组成各种类型的计数器,计数器是电子计算机和数字逻辑系统中的基本部件之一,它能累计输入的脉冲数目,以进行求和或作为判断的依据。,计数器分类,按计数数值变化分: 按进制(计数器的模数)分: 按计数器各触发器状态变化先后次序分:,加法计数器 减法计数器 可逆计数器,二进制、十进制、十六进制计数器等.,同步计数器、异步计数器。,计数器(2),关于计数器的几点说明,1、所谓n进制,就是“逢n进1”。 例如2进制,它只有0和1两个数码,每当本位是1,再加1时,本位便变为0,而向高位进位,使高位加1。 0+1=1,1+1=10(壹零),2、一个双稳态触发器可以表示一位二进制数:因为双稳态触发器有“1”和“0”两个状态。故要表示n位二进制数,就得用n个双稳态触发器。,3、构成计数器时,采用不同的触发器有不同的逻辑电路;即使用同一种触发器也可得出不同的逻辑电路,4、鉴于T和T/触发器的功能,构成计数器时,多采用这两种触发器,这样设计思路比较明晰。,计数器(3),四位二进制计数器,四位二进制加法计数器的状态表,计四位二进制数就需要四个触发器,四位二进制数的加法计数规则每来一个脉冲,最低位触发器翻转一次,计数器(4),四位二进制计数器,四位异步二进制加法计数器,四位异步二进制加法计数器,(J、K端悬空,相当于“1”),计数器(5),四位二进制计数器,四位异步二进制加法计数器电路特点,每个触发器的JK端悬空,相当于J=1,K=1的状态。具有计数功能。 高位触发器是在低位触发器由1变为0时翻转(下降沿触发) 每个触发器的CP脉冲由低位的Q端提供,从而保证在脉冲的下降沿翻转 如采用上升沿触发的J-K触发器,则把低位的 Q 端接至高位的脉冲信号输入端,作为进位信号。,计数器(6),四位二进制计数器,工作波形图,( 二 分频),( 四 分频),( 八 分频),(十六分频),由于每来一个计数脉冲,计数器的值加1,所以,称为加法计数器。,由于计数脉冲,只是加在最低位的触发器上,其他各位触发器则由相邻低位触发器输出的进位脉冲来触发,因此它们的状态变化有先有后,是异步的,所以称为异步计数器。,计数器(7),四位二进制计数器,四位同步二进制加法计数器,说明:J、K输入端自带与门,对于主从型J-K触发器:,翻转的条件是 J = K = 1。,对于第四位触发器来说只有当前三位均为“1”,时才翻转,故,同理,可以得出:,特别说明:J=K便由J-K触发器转换成了T触发器!,如果由T触发器(附以门电路)构成同步 n 位加法计数器,则第 i (1i n)位翻转的条件是:只有比第 i 位低的所有位的状态都为“1”时,第 i 位才翻转,即,计数器(8),计数器(9),异步二进制计数器,例题:分析如图电路的逻辑功能,说明其用途。(设初态为“000”),“计数脉冲”,(1)写出时钟方程:,(2)写出驱动方程:,计数器(10),异步二进制计数器,Q0在Q2为0时,每个计数脉冲都翻转,Q1在Q0由1变为0时翻转,Q2在Q0和Q1都为1时,来计数脉冲则翻转,(3)代入特性方程,计数器(11),异步二进制计数器,计数器(12),说明设触发器Q0 Q2的时钟信号cp0cp2为1时表示有效的时钟边沿(对上沿触发器是有上升沿,对下沿触发器则是有下降沿)到达,为0则表示无时钟达到。这里cp1 =Q1,即只有在Q1从10时Q2才能翻转。,计数器(13),二进制计数器,Q0在Q2为0时,每个计数脉冲都翻转,Q1在Q0由1变为0时翻转,Q2在Q0和Q1都为1时,来计数脉冲则翻转,0 0 0,0,1,0,0,1,0,1,1,0,0,1,0,0,0,0,计数器(14),十进制计数器,十进制计数器的特点,十进制是“逢十进一”。但构成计数器的每一位触发器依然只有“0”、“1”两个状态,不会出现“29”这样的数字。所以我们用四位二进制数的“8421(八四二么)”码。来表示一位十进制的数。 关键点:如何使计数器的状态从1001直接变回到0000。,计数器(15),十进制计数器,十进制同步计数器的状态表,分析:对于前面介绍的四位二进制计数器,当第十个计数脉冲到来时,第二位由“0” 翻转为“1”,第四位保持不变;而对于十进制计数器则刚好相反。,因此只需修改四位二进制同步计数器的第二、四位的翻转条件即可。,计数器(16),十进制计数器,十进制同步计数器,四位同步二进制加法计数器,同步十进制 加法计数器,计数器(17),十进制计数器,同步十进制加法计数器,因为Q1的第9个状态为0,而要保持这个“0”态不变,只要J1为“0”即可,所以第二位的翻转条件可以改为:,计数器(18),十进制计数器,同步十进制加法计数器,Q3 在前7个状态,只要 J3=0,K3 可为任意值;在第8个状态,只要 J3 =1,K3可为任意值;,第9个状态, J3=K3=0即可;第10个状态,只要K3=1,J3可任意。,计数器(19),十进制计数器,同步十进制加法计数器,要满足上面的条件,只须,(充分条件),说明:从上面的分析可知,上图中由J-K触发器构成同步十进制加法计数器,已达最简程度。 这一结果是对照J-K触发器和计数器的状态表,逐条考虑得来的。这种作法虽不是设计电路的常规方法,但却是最后简化电路的一个步骤。要掌握能够对这样的电路进行功能分析。,计数器(20),十进制计数器,同步十进制加法计数器,计数器(21),十进制计数器,异步十进制加法计数器,状态表:,计数器(22),十进制计数器,用JK触发器构成的二-十进制计数器,为了使计数器能在第9个触发脉冲到来后的1001 ,在第10个脉冲作用下,由1001变为0000,即Q3和Q0变为0,而Q2和Q1保持0不变。我们采用下列措施:,计数器(23),十进制计数器,用JK触发器构成的二-十进制计数器,选用一个控制信号CA,在状态08时,令CA=0,计数器按二进制加法计数。当第9个脉冲来的时候,令CA=1,由CA信号控制F3能加进计数脉冲。,计数器(24),十进制计数器,用JK触发器构成的二-十进制计数器,由于F1直接和CP脉冲相接,所以CA只需去控制F3使其能加进第10个脉冲即可。由此写出CA为1的逻辑关系为:,计数器(25),十进制计数器,同时,为了维持Q2Q1的状态保持0状态不变,增设CB去控制F1的J端,在08个脉冲时,令CB=1,计数器按二进制加法计数,当在状态9时,令CB=0,使Q在串行计数脉冲作用下的新状态维持0状态.这样,F1得不到触发,也保持0状态不变.可见CB的逻辑关系为,计数器(26),十进制计数器,当Q3Q2Q1Q0全为0时,将产生进位CO,所以,用一个或非门以产生进位。即:,寄存器,概述 数码寄存器 移位寄存器,寄存器(1),概述,寄存器的功能是暂时存放参与运算的数据和运算结果,一个触发器可以存放一位二进制数,要存放多位,就得用多个触发器 寄存器的分类:根据数据存放的方式可分为串行和并行两种,根据功能分有数码寄存器和移位寄存器两种。,寄存器(2),数码寄存器,功能: 寄存数码和清除原有数码,电路组成: 四位D触发器组成的并行输入并行输出寄存器,寄存器(3),数码寄存器,工作原理,CP 到来,取指脉冲 到来后,寄存器(4),移位寄存器,功能 不仅具有寄存数码的功能还具有移位的功能,也就是被寄存的数码可在移位脉冲的作用下依次进行移位。,电路组成 四位串行数码寄存器-用4位J-K触发器组成。,寄存器(5),移位寄存器,寄存器(6),移位寄存器,工作原理,集成555定时器(1),什么是集成555定时器,555定时器是将模拟电路和数字电路集于一体的电子器件,是一种多用途的单片集成电路。在外部配上少许阻容元件,便能构成施密特触发器、单稳态触发器和多谐振荡器等电路。它使用方便,带负载能力较强, 目前得到了非常广泛的应用。,目前555定时器的产品型号很多,但所有双极型产品型号最后3位数码都是555,所有CMOS产品型号最后4位数码都是7555。且它们的功能和外部引脚的排列完全相同。为提高集成度,又生产有双定时器产品556(双极型)和7556( CMOS型)。,集成555定时器(2),集成555定时器的电路结构,组成:电阻分压器,电压比较器,RS触发器,场效应管,反相器,集成555定时器(3),集成555定时器的工作原理,集成555定时器的外引线排列图如下:,其中:,DDISC为放电端,UCO为外加控制电压端。,UTR为触发输入端,UTH为阈值输入端,集成555定时器(4),集成555定时器的工作原理,当控制端5脚悬空时:UR1=1/3VDDUR2=2/3VDD,当控制端5脚接UCO时:UR1=1/2UCOUR2=UCO,集成555定时器(5),集成555定时器的工作原理,UTH (2VDD/3) ,UTR (VDD/3)时,R=0,S=1 Q=1,T截止,UO=1,UTH (2VDD/3) ,UTR (VDD/3)时,R=1,S=0 Q=0,T导通,UO=0,UTH (2VDD/3) ,UTR (VDD/3)时,R=0,S=0 Qn+1=Qn, UO保持不变,集成555定时器(6),集成555定时器的功能表,f f 0 0 导通,输 入 输 出, (VDD/3) 1 保持 保持,(2VDD/3) (VDD/3) 1 0 导通, (2VDD/3) (VDD/3) 1 1 截止,UTH, UTR两者都小于各自的参考电压时UO=1,放电管截止,UTH, UTR两者都大于各自的参考电压时UO=0,放电管导通,集成555定时器(7),集成555定时器的应用,*用555定时器构成的施密特触发器,施密特触发器具有两个稳定状态,其工作特点是:两个稳定状态的维持与相互转换均与输入电压的大小有关,且输出由高电平转换到低电平以及由低电平转换到高电平所需的输入触发电平是不相同的,其差值称为回差电压。由于具有回差电压,故其抗干扰能力较强。应用施密特触发器能将边沿变化缓慢的波形整形为边沿陡峭的矩形脉冲。故施密特触发器常用 于进行波形变换及脉冲波的整形。,集成555定时器(8),集成555定时器的应用,*用555定时器构成的施密特触发器,1.电路组成,2.工作原理,集成555定时器(9),集成555定时器的应用,当Ui下降(上升)时,电路输出Uo改变状态时对应的输入电压为U(U+),两者的差值称为回差电压,即U=U+U电压传输特性:滞后特性。,3. 电压传输特性,U+=2/3VDD,U-=1/3VDD,集成555定时器(10),集成555定时器的应用,施密特触发器的应用波形变换,集成555定时器(11),集成555定时器的应用,施密特触发器的应用脉冲波的整形,数字系统中的矩形脉冲在传输中经常发生波形畸变。经施密特触发器整形后便可获得较理想的矩形脉冲波。,集成555定时器(12),集成555定时器的应用,施密特触发器的应用脉冲波的整形,在传输的信号上出现附加噪声,经整形后仍会得到较理想的矩形脉冲波。,集成555定时器(13),集成555定时器的应用,施密特触发器的应用脉冲波鉴幅,将幅度不同、不规则的脉冲信号加到施密特触发器的输入端时,能选择幅度大于U+的脉冲信号进行输出,具有脉冲鉴幅的功能。,集成555定时器(14),集成555定时器的应用,*用555定时器构成的单稳态触发器,单稳态触发器的工作特点是:有一个稳定状态和一个暂稳态。在触发脉冲作用下,电路将从稳态翻转到暂稳态,然后在贮能元件的作用下,暂稳态停留一段时间tw后,又能自动返回到稳定状态,并在其输出端产生一个宽度为tW的矩形脉冲。 通常把单稳态的暂稳态停留时间称作延迟时间,延迟时间的长短仅取决于电路的有关参数,而与触发脉冲的宽度无关。,集成555定时器(15),集成555定时器的应用,1.电路组成,2.工作原理,UTH,UTR,UTH=uC,UTR=ui,UTR=ui(1/3)VDD,UTR=ui(1/3)VDD,UTH=uC(2/3)VDD,UTH=uC(2/3)VDD,保持 uo=0,uo=1,充电结束时翻转,集成555定时器(16),集成555定时器

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论