基于51单片机的四路定时控制器设计报告.doc_第1页
基于51单片机的四路定时控制器设计报告.doc_第2页
基于51单片机的四路定时控制器设计报告.doc_第3页
基于51单片机的四路定时控制器设计报告.doc_第4页
基于51单片机的四路定时控制器设计报告.doc_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

河南理工大学单片机应用与仿真训练设计报告四路定时控制器姓 名: 范鹏超 学 号: 311108001309 专业班级: 电仪12- 2班 指导老师: 荆鹏辉 所在学院:电气工程与自动化学院 2015年1月13日 目录目录1摘要21 概述31.1 单片机的电子技术特征31.2 四路定时控制器基本功能31.3 系统原理31.4 实际应用32 系统总体方案及硬件设计32.1 系统总体设计框架结构32.2系统硬件电路42.3 系统硬件总电路63软件设计64 proteus软件仿真75课程设计体会7参考文献:8附1:源程序代码9摘要 近年来,科学技术发展很快,单片机的使用也越来越多。我们在大学也学到了很多关于单片机的知识,也做了很多单片机实验。单片计算机即单片微型计算机。(single-chip microcomputer),是集cpu,ram,rom,定时,计数和多种接接口于一体的微控制器。它体积小,成本低,功能强,广泛应用于智能产品和工业自动化上。而c语言已成为当前举世公认的高效简洁又贴近硬件的编程语言之一。c语言现已成为专业化的单片机上的实用高级语言。而且由于开发速度、软件质量结构化、可维护性方面的原因,使c语言渐渐有取代汇编的趋势。这次我们做四路定时控制器作为微机原理与单片机的课程设计。 四路定时控制器主要应用于定时控制四个回路的电源通断。四路定时器的设计背景是单片机的电子技术特征以及应用。本系统采用的是stc10f08xe为中心器件来设计四路定时器的。系统使用性强,操作简单,扩展性强。设计主要包括硬件的设计和软件的设计。一个完整的四路定时器相当于一个简单的单片机系统,该系统由四路定时器设置电路,单片机,显示电路等构成。单片机是集成ic芯片,只需要根据实际设计要求选型。其他部分都需要根据应用要求和性能指标自行设计。设计时,首先设置时间和线路,然后开始计时,在达到设定时间的时候指示灯闪烁。 该四路定时控制器实现的功能是以当前时间为基准,以24小时为周期,可以任意设定哪个回路于某时刻开,到某时刻关。如可以设置第一个回路在8:30-11:30开,14:30-18:00开,其他时间段为关。能够通过按键任意设置某回路某时间段的开关状态,可以利用数码管直接显示。整个系统通过软硬件的协调工作,具有读取方便、显示直观、功能多样、电路简洁、成本低廉、操作安全等诸多优点,具有广阔的市场前景,尤其在智能化家用电器和办公自动化设备等领域。1 概述1.1 单片机的电子技术特征单片机是将cpu、ramrom定时器/计数器以及输入输出(i/o)接口等计算机的主要部件集成在一块的集成电路芯片,作为微机系统它还可以实现模/数转换、脉宽调制、计数器捕获/比较逻辑、高速i/o口和wdt各种控制功能。1.2 四路定时控制器基本功能 四路定时控制器是指应用定时器的功能控制四个回路的通断状况,同时可以用按键来设置每个通路的通断以及通断的时间和周期,利用定时器来控制单片机io口的高低电平来间接控制继电器的通断。1.3 系统原理采用stc10f08xe单片机为控制核心,基于stc10f08xe单片机所具备的的计数功能,达到实时时钟的制作,并通过四位共阴极数码管将时间直观的显示出来。可以利用按键输入功能对显示时间进行调整。其共设置了四个定时通道,每个定时通道都是相互独立的。四个定时通道可以分别设置多个时间段的开关状态,每个通道都可以通过i/o口输出信号对电磁继电器进行控制,通过控制电磁继电器的通断进一步控制继电器所连接的其他电路的开关状态。充分利用了弱电控制强电的效果。1.4 实际应用被广泛地应用在各种领域。例如,用来作家用电器的定时控制器;在办公室中用作磁盘驱动、打印机、复印机、电话的控制;它还可以作为电子秤、收款机、仓储安全检测系统、空气调节系统等冷冻保鲜系统等的专用系统的控制器;在工业中,像工业过程控制、过程监制以及机电一体化等控制系统;它还可以构成一些智能仪表与集成智能传感器传统的控制器等。2 系统总体方案及硬件设计2.1 系统总体设计框架结构数码管电路按键电路继电器电路图1 系统总体设计框架四路定时控制系统总体设计框架结构如图1所示。2.2系统硬件电路1) 时钟电路设计图2 时钟的电路时钟电路由振荡器及定时发生器和地址锁存允许信号ale组成,如图2所示。所用的时钟电路用的是11.0592mhz的晶振,它的振荡周期约为0.0833s,时钟周期是1/6s,机器周期是1s2)按键电路设计图3 按键电路原理图采用2x3键盘扫描,如图3所示,使用每一个按键。3)数码管显示电路 图4 数码管显示电路 显示块是由若干发光二极管组合而成的,一般的“8”字型显示块由a、b、c、d、e、f、g、h8个发光二极管组成,gnd接1时均不亮,字位输出口和字形输出口采用高电压驱动,如图4所示。4)继电器电路1. 继电器的基本工作原理:继电器(是一种电控制器件,是当输入量(激励量)的变化达到规定要求时,在电气输出电路中使被控量发生预定的阶跃变化的一种电器。它具有控制系统(又称输入回路)和被控制系统(又称输出回路)之间的互动关系。通常应用于自动化的控制电路中,它实际上是用小电流去控制大电流运作的一种“自动开关”。故在电路中起着自动调节、安全保护等作用。 图5 继电器工作原理图本次试验使用了电磁继电器,原理图如图5所示,电磁继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端加上一定的电压,线圈中就会流过一定的电流,从而生生电磁效应,衔铁就会在电磁力吸引的作用下克服返回弹簧的拉力吸向铁芯,从而带动衔铁的动触点与静触点(常开触点)吸合。当线圈断电后,电磁的吸力也随之消失,衔铁就会在弹簧的反作用力返回原来的位置,使动触点与原来的静触点(常闭触点)释放。这样吸合、释放,从而达到了在电路中的导通、切断的目的。对于继电器的“常开、常闭”触点,可以这样来区分:继电器线圈未通电时处于断开状态的静触点,称为“常开触点”;处于接通状态的静触点称为“常闭触点”。继电器一般有两股电路,为低压控制电路和高压工作电路。2.3 系统硬件总电路图6 系统硬件仿真电路图3软件设计图7 系统软件设计的步骤4 proteus软件仿真图8 proteus软件仿真5课程设计体会 本次课程设计基本结束,回想这一过程,真是困难重重,但是也学到很多。首先是实验程序,刚开始一片茫然,不知道该如何开始。在网上搜到部分资料后,经过和宿舍同学探讨学习后开始有点眉目,最后参考部分材料后得出了最终程序。其次,在焊接电路板时,出现了元器件焊反的情况,但在同学的帮助下成功了。最后,在protues仿真实验中有出现数码管显示数字成零不断跳动,而且led灯自动跳变,相当于是中断不停执行、判断键值,特别不稳定的情况。但经过大量的修正最终正常。 虽然这次实验没有创新,但是也是自己动手查资料,修改程序,达到了实验要求。通过本次课程设计,学到了很多关于单片机的知识,我也懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。有时,只有经过自己亲手试验,才能更深层次的懂得实验原理和每部分电路的功能。我也很感谢教育过我的老师和周围同学,在遇到困难的时热情的帮助我度过难关,并让我学到了很多。 此次课程设计,我学到了很多,对以后的学习生活都有很大帮助。今后我也会更加注重理论与实际相结合,多动手,多思考,全面提高自己的能力。参考文献:1余发山,王福忠,杨凌霄,王莉。微机原理与单片机接口技术.北京:煤炭工业出版社。2013.8.2 左金生.电子与模拟电子技术m.北京:电子工业出版社.2004:105-131.3 尹勇.单片机开发环境vision2的开发指南m. 北京:北京航空航天大学出版社,2004:173-199.4 张俊谟.单片机中级教程-原理与应用. 北京:北京航空航天大学,2006.5 霍孟友.单片机原理与应用.北京:机械工业出版社,2003.附1:源程序代码#include#include#define uint unsigned int/*0216-1*/#define uchar unsigned char /*028-1*/#define n 46080/*n=50000*f0/12,定时50ms*/#define m 20/* 定时m*0.05s */uint shi,fen;#define num3(x2) (x2)/10)#define num2(x2) (x2)%10)#define num1(x1) (x1)/10)#define num0(x1) (x1)%10)sbit w1=p03;sbit w2=p02;sbit w3=p01;sbit w4=p00;sbit led=p35;sbit h1=p36;sbit h2=p37;sbit huilua=p20;sbit huilub=p21;sbit huiluc=p22;sbit huilud=p23;sfr p1m1=0x91;/和后面的main函数中的 sfr p1m0=0x92;/p1m1 p1m0合在一起增加亮度sfr p2m1=0x95;sfr p2m0=0x96;uint num/*t0_timer*/,num0/*t0_timer*/,num1,key/*matrixkeyscan*/; /定义全局变量uchar k2=0,k3=0,k5=1,k6=0;/按键计数uchar a0_shi=12,a0_fen=30,a1_shi=13,a1_fen=30,b0_shi=12,b0_fen=30,b1_shi=13,b1_fen=30,c0_shi=12,c0_fen=30,c1_shi=13,c1_fen=30,d0_shi=12,d0_fen=30,d1_shi=13,d1_fen=30;/继电器定时起点、终点uchar code duanxuan=0x3f,/*显示0*/0x06,/*显示1*/0x5b,/*显示2*/0x4f,/*显示3*/0x66,/*显示4*/0x6d,/*显示5*/0x7d,/*显示6*/0x07,/*显示7*/0x7f,/*显示8*/0x6f,/*显示9*/0x77,/*显示a*/0x7c,/*显示b*/0x39,/*显示c*/0x5e,/*显示d*/0x79,/*显示e*/0x71/*显示f*/;void delay_ms(uint xms) /*延时子函数程序*/ uint i,j;for(i=xms;i0;i-) for(j=250;j0;j-);/*该层循环延时约1ms*/*/typedef unsigned char byte;typedef unsigned int word;/*declare sfr associated with the iap*/sfr iap_data= 0xc2;/flash data registersfr iap_addrh= 0xc3;/flash address highsfr iap_addrl= 0xc4;/flash address lowsfr iap_cmd= 0xc5;/flash command registersfr iap_trig= 0xc6;/flash commandtriggersfr iap_contr= 0xc7;/flash control register/*define isp/iap/eeprom command*/#define cmd_idle0/stand-by#define cmd_read1/byte-read#define cmd_program2/byte-program#define cmd_erase3/sector-erase/*define isp/iap/eeprom operation const for iap_contr*/#define enable_iap0x80/sysclk30mhz/#define enable_iap0x81/sysclk24mhz/#define enable_iap0x82/sysclk20mhz #define enable_iap0x83/sysclk12mhz/#define enable_iap0x84/sysclk 6mhz/#define enable_iap0x85/sysclk 3mhz/#define enable_iap0x86/sysclk 2mhz/#define enable_iap0x87/sysclk8;/set isp/iap/eeprom address highiap_trig = 0x5a;/send trigger command1(0x5a)iap_trig = 0xa5;/send trigger command2(0xa5)_nop_();/mcu will hold here until isp/iap/eeprom/operation completedat = iap_data;/read isp/iap/eeprom dataiapidle();/close isp/iap/eeprom functionreturn dat;/return flash data/*-programe one byte to isp/iap/eeprom areainput: addr(isp/iap/eeprom address)dat(isp/iap/eeprom data)output:-*/void iapprogrambyte(word addr, byte dat)iap_contr = enable_iap;/open iap function,and set wait timeiap_cmd= cmd_program;/set isp/iap/eeprom program commandiap_addrl = addr;/set isp/iap/eeprom address lowiap_addrh = addr8;/set isp/iap/eeprom address highiap_data = dat;/write isp/iap/eeprom dataiap_trig = 0x5a;/send trigger command1(0x5a)iap_trig = 0xa5;/send trigger command2(0xa5)_nop_();/mcu will hold here until isp/iap/eeprom/operation completeiapidle();/*-erase one sector areainput: addr (isp/iap/eeprom address)output:-*/void iaperasesector(word addr)iap_contr = enable_iap;/open iap function,and set wait timeiap_cmd= cmd_erase;/set isp/iap/eeprom erase commandiap_addrl = addr;/set isp/iap/eeprom address lowiap_addrh = addr8;/set isp/iap/eeprom address highiap_trig = 0x5a;/send trigger command1(0x5a)iap_trig = 0xa5;/send trigger command2(0xa5)_nop_();/mcu will hold here until isp/iap/eeprom/operation completeiapidle();/*数码管显示函数*/void displays(uchar x2,x1) w1=0;p1=duanxuannum0(x1);delay_ms(5);w1=1;p1=0x00;/消影 w2=0;p1=duanxuannum1(x1);delay_ms(5);w2=1;p1=0x00; w3=0;p1=duanxuannum2(x2)|0x80;delay_ms(5);w3=1;p1=0x00; w4=0;p1=duanxuannum3(x2);delay_ms(5);w4=1;p1=0x00;/*定时器初始化*/void t_initialize()/ ea=1; /开放all中断允许enable et0=1; /开放t0中断允许enable*/ tmod=0x11; /定时器0、1,工作方式1,仅受tcon中tr控制*/ th0=(65536-n)/256; tl0=(65536-n)%256; et1=1; /开放t1中断允许enable*/ th1=(65536-n)/256; tl1=(65536-n)%256; tr0=1; /定时器计时开始/*/*时钟实时时间、定时段设置(显示放后边)*/void clk_weixuan()/switch(k5)case 1:switch(k2)case 0:;break;case 1:if(key=1)a0_shi+;if(a0_shi=24)a0_shi=0;/*shi=023*/ if(key=4)if(a0_shi=0)a0_shi=24;a0_shi-;/*shi=230*/break; case 2:if(key=1)a0_fen+;if(a0_fen=60)a0_fen=0;/*fen=059*/ if(key=4)if(a0_fen=0)a0_fen=60;a0_fen-;/*fen=059*/break;case 3:if(key=1)a1_shi+;if(a1_shi=24)a1_shi=0;/*shi=023*/ if(key=4)if(a1_shi=0)a1_shi=24;a1_shi-;/*shi=230*/break;case 4:if(key=1)a1_fen+;if(a1_fen=60)a1_fen=0;/*fen=059*/ if(key=4)if(a1_fen=0)a1_fen=60;a1_fen-;/*fen=059*/break;case 5:iaperasesector(0x0000);iapprogrambyte(0x0000,a0_shi);iapprogrambyte(0x0001,a0_fen);iapprogrambyte(0x0002,a1_shi);iapprogrambyte(0x0003,a1_fen);k2=0;break;break;case 2:switch(k2)case 0:;break;case 1:if(key=1)b0_shi+;if(b0_shi=24)b0_shi=0;/*shi=023*/ if(key=4)if(b0_shi=0)b0_shi=24;b0_shi-;/*shi=230*/break; case 2:if(key=1)b0_fen+;if(b0_fen=60)b0_fen=0;/*fen=059*/ if(key=4)if(b0_fen=0)b0_fen=60;b0_fen-;/*fen=590*/break;case 3:if(key=1)b1_shi+;if(b1_shi=24)b1_shi=0;/*shi=023*/ if(key=4)if(b1_shi=0)b1_shi=24;b1_shi-;/*shi=230*/break;case 4:if(key=1)b1_fen+;if(b1_fen=60)b1_fen=0;/*fen=059*/ if(key=4)if(b1_fen=0)b1_fen=60;b1_fen-;/*fen=059*/break;case 5:iaperasesector(0x0200);iapprogrambyte(0x0200,b0_shi);iapprogrambyte(0x0201,b0_fen);iapprogrambyte(0x0202,b1_shi);iapprogrambyte(0x0203,b1_fen);k2=0;break;break;case 3:switch(k2)case 0:;break;case 1:if(key=1)c0_shi+;if(c0_shi=24)c0_shi=0;/*shi=023*/ if(key=4)if(c0_shi=0)c0_shi=24;c0_shi-;/*shi=230*/break; case 2:if(key=1)c0_fen+;if(c0_fen=60)c0_fen=0;/*fen=059*/ if(key=4)if(c0_fen=0)c0_fen=60;c0_fen-;/*fen=590*/break;case 3:if(key=1)c1_shi+;if(c1_shi=24)c1_shi=0;/*shi=023*/ if(key=4)if(c1_shi=0)c1_shi=24;c1_shi-;/*shi=230*/break;case 4:if(key=1)c1_fen+;if(c1_fen=60)c1_fen=0;/*fen=059*/ if(key=4)if(c1_fen=0)c1_fen=60;c1_fen-;/*fen=059*/break;case 5:iaperasesector(0x0400);iapprogrambyte(0x0400,c0_shi);iapprogrambyte(0x0401,c0_fen);iapprogrambyte(0x0402,c1_shi);iapprogrambyte(0x0403,c1_fen);k2=0;break;break;case 4:switch(k2)case 0:;break;case 1:if(key=1)d0_shi+;if(d0_shi=24)d0_shi=0;/*shi=023*/ if(key=4)if(d0_shi=0)d0_shi=24;d0_shi-;/*shi=230*/break; case 2:if(key=1)d0_fen+;if(d0_fen=60)d0_fen=0;/*fen=059*/ if(key=4)if(d0_fen=0)d0_fen=60;d0_fen-;/*fen=590*/break;case 3:if(key=1)d1_shi+;if(d1_shi=24)d1_shi=0;/*shi=023*/ if(key=4)if(d1_shi=0)d1_shi=24;d1_shi-;/*shi=230*/break;case 4:if(key=1)d1_fen+;if(d1_fen=60)d1_fen=0;/*fen=059*/ if(key=4)if(d1_fen=0)d1_fen=60;d1_fen-;/*fen=059*/break;case 5:iaperasesector(0x0600);iapprogrambyte(0x0600,d0_shi);iapprogrambyte(0x0601,d0_fen);iapprogrambyte(0x0602,d1_shi);iapprogrambyte(0x0603,d1_fen);k2=0;break;break;if(k2=0)switch(k3)case 0:tr0=1;break; case 1:if(key=1)shi+;if(shi=24)shi=0;/*shi=023*/ if(key=4)if(shi=0)shi=24;shi-;/*shi=230*/;break; case 2:if(key=1)fen+;if(fen=60)fen=0;/*fen=059*/ if(key=4)if(fen=0)fen=60;fen-;/*fen=590*/;break;/*矩阵键盘扫描,同时可进行数码管各位的+- */void matrixkeyscan()/如何确定时间设定时数码管显示的数字是否会自动+1 /*当两行h1、h2重新赋值时存在冲突,解决办法为:h1=1时h2=0,h2=1时h1=0或者对p3口进行整体赋值*/ uchar temp; /*扫描第一行*/ h1=0;h2=1;/扫描第一行 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态/*判断哪个键摁下*/ if(temp!=0xff)/判断是否有键摁下 delay_ms(10);/延时 h1=0;h2=1;/扫描第一行/重新读取 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态 if(temp!=0xff)/消抖 switch(temp)/判断哪个键摁下 case 0x7f:key=3;k3+;k3=k3%3/*k=02*/; tr0=0/*时间设定时定时器停止*/;break; case 0xbf:key=2;k3=0;k2+;if(k2=1)num1=0;tr1=1;break; case 0xdf:key=1;break; /键1 2 3 分别摁下clk_weixuan();while(temp!=0xff)/按键释放才会跳出循环 h1=0;h2=1;/扫描第一行/重新读取 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态 /*扫描第二行*/ h2=0;h1=1;/扫描第二行 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态/*判断哪个键摁下*/ if(temp!=0xff)/判断是否有键摁下 delay_ms(10);/延时 h2=0;h1=1;/扫描第二行/重新读取 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态 if(temp!=0xff)/消抖 switch(temp)/判断哪个键摁下 case 0x7f:key=6;k6+;if(k6=2)k6=0;break; case 0xbf:key=5;k5+;/*1 2 3 4 */if(k5=5)k5=1;num1=0;tr1=1;/*内次按下k5 都会显示2s的回路(a/b/c/d),故需要打开定时器且计数清零*/break; case 0xdf:key=4;break; /键 4 5 6 分别摁下clk_weixuan();while(temp!=0xff)/按键释放才会跳出循环 h2=0;h1=1;/扫描第二行/重新读取 temp=p0; temp=temp|0x1f;/读取l1、l2、l3的状态 void displays_hui() w1=0;p1=duanxuank5+9;delay_ms(5);w1=1;p1=0x00;/消影 w2=0;p1=duanxuank5+9;delay_ms(5);w2=1;p1=0x00; w3=0;p1=duanxuank5+9;delay_ms(5);w3=1;p1=0x00; w4=0;p1=duanxuank5+9;delay_ms(5);w4=1;p1=0x00;void displays_set() w1=0;p1=0x40;delay_ms(5);w1=1;p1=0x00;/消影 w2=0;p1=0x40;delay_ms(5);w2=1;p1=0x00; w3=0;p1=duanxuank5+9;delay_ms(5);w3=1;p1=0x00; w4=0;p1=duanxuank5+9;delay_ms(5);w4=1;p1=0x00;void displays_all()/先优先处理k5 k2按下时的显示问题,再进行正常显示 if(key=5) while(num140)displays_hui();tr1=0;/*只显示2s的回路,然后恢复正常时钟显示*/ if(k2!=0)/正常调时k3!=0,此时按下k2不能调整定时的时间段if(k3=0)while(num140)displays_set()/*a0- 2s*/;tr1=0; switch(k5) case 1:if(k2=2)displays(a0_shi,a0_fen);else displays(a1_shi,a1_fen);break; case 2:if(k2=2)displays(b0_shi,b0_fen);else displays(b1_shi,b1_fen);break; case 3:if(k2=2)displays(c0_shi,c0_fen);else displays(c1_shi,c1_fen);break; case 4:if(k2(a0_shi*100+a0_fen)&(shi*100+fen)(b0_shi*100+b0_fen)&(shi*100+fen)(c0_shi*100+c0_fen)&(shi*100+fen)(d0_shi*100+d0_fen)&(shi*100+fen)(d1_shi*100+d1_fen) huilud=0;else huilud=1;break; void main() p1m1=0x00; p1m0=0xff; p2m1=0x00; p2m0=0xff; t_initialize(); /定时器t0、t1初始化 a0_shi=iapreadbyte(0x0000);/读取eeprom中存储的参数 a0_fen=iapreadbyte(0x0001); a1_shi=iapreadbyte(0x0002); a1_fen=iapreadbyte(0x0003); b0_shi=iapreadbyte(0x0200); b0_fen=iapreadbyte(0x0201); b1_shi=iapreadbyte(0x0202); b1_fen=iapreadbyte(0x0203); c0_shi=iapreadbyte(0x0400); c0_fen=iapreadbyte(0x0401); c1_shi=iapreadbyte(0x0402); c1_fen=iapreadbyte(0x0403); d0_shi=iapreadbyte(0x0600); d0_fen=iapreadbyte(0x0601); d1_shi=iapreadbyte(0x0602); d1_fen=iapreadbyte(0x0603); while(1) /开始行走 matrixkeyscan();/进行一次键盘检测,可进行数码管各位的+-设置 displays_all(); void t0_timer()interrupt 1 th0=(65536-n)/256;/*/ tl0=(65536-n)%256; num+; /*全局变量,不赋初值时,默认初值为0*/ if(num=10)led=led;num=0;num0+;/*0.5s改变一次状态,闪烁一次1s*/ if(num0=120) num0=0;fen+; if(fen

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论