FPGA毕业论文.doc_第1页
FPGA毕业论文.doc_第2页
FPGA毕业论文.doc_第3页
FPGA毕业论文.doc_第4页
FPGA毕业论文.doc_第5页
已阅读5页,还剩51页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

南京理工大学紫金学院毕业设计说明书(论文)作 者:杨望学 号:080404153系:电子工程与光电技术系专 业:通信工程题 目:基于fpga的直流电机控制设计助教刘曦指导者: (姓 名) (专业技术职务)评阅者: (姓 名) (专业技术职务) 2012 年 5 月毕业设计说明书(论文)中文摘要 文章详细地介绍了直流电机的类型、结构、工作原理、pwm调速原理以及fpga集成芯片。并对直流电机pwm调速系统方案的组成、硬件电路设计、程序设计及系统仿真分别进行了详细的叙述。然后阐述了fpga的设计原理以及所涉及到的相关芯片,接着对所要应用的硬件语言vhdl方面的知识进行了简要地介绍,这些为论文的具体设计部分提供了理论基础。本系统针对需要实现对直流电机的转向与速度控制,设计出了一种较理想的方法。对整个系统进行模块化设计,并且每个子模块都通过了仿真测试。系统采用了模块化的设计思路,为系统的设计和维护提供了方便,同时也提高了系统性能的可扩展性。关键词 直流电机 现场可编程门阵列 硬件描述语言 pwm毕业设计说明书(论文)外文摘要title design of dc motor control base on fpga abstractthis paper introduces clearly the construction and the principle of dc motor and the principle of the speed control based on pwm and fpga integrated chip. and the paper describes detailedly and operationally the composition program of dc motor speed control based on pwm and the design of the hardware circuit, the program design and the system simulation . then it describes the principle of the fpga design and the related chips, then gives a brief introduction on the knowledge of applied hardware language vhdl, all these provides a theoretical basis for the specific design sections of this paper.the system against the achievement of the dc motor speed and steering control, designs an ideal method. it designs the modularization of the whole system, and each sub-module has passed the simulation tests. the system uses a modular design concept, it is not only convenient for the system design and maintenance,but also improves the performance of the system scalability.keywords dc motor fpga vhdl pwm 本科毕业设计说明书(论文) 第 页 共 页目 次1 绪论 11.1 课题的来源 11.2 课题研究的目的及其意义 21.3 课题国内外研究现状 31.4 课题研究的主要内容及其安排 32 直流电机的基本知识 52.1 直流电机的特点 52.2 直流电机的基本结构 52.3 直流电机的工作原理 72.4 直流电机的主要参数 72.5 本章小结 83 直流电机pwm调速系统方案设计 93.1 直流电机pwm调速原理 93.2 基于单片机的直流电机pwm调速方案103.3 基于fpga的直流电机调速方案 113.4 方案论证123.5 本章小结134 直流电机调速控制电路设计14 4.1 系统工作原理144.2 键盘电路设计154.3 系统时钟电路设计164.4 h型桥式驱动电路设计174.5 电源电路设计194.6 本章小结205 硬件描述语言vhdl及开发系统quartus215.1 vhdl语言介绍215.2 quartus开发系统介绍245.3 本章小结246 fpga内部逻辑电路组成及各个模块详解256.1 pwm脉宽调制信号产生模块256.2 控制模块306.3 fpga内部逻辑电路仿真31 本科毕业设计说明书(论文) 第 页 共 页6.4仿真结果分析336.5 本章小结34 结论 35致谢 36参考文献37 本科毕业设计说明书(论文) 第 1 页 共 37 页1 绪论 以fpga为其控制核心,对直流电机pwm调速系统方案的组成、程序设计及系统仿真分别进行了详细的叙述。1.1 课题的来源直流电动机是一种能量转换的装置,具有良好的启动性能和宽广平滑的调速特性,在国民经济中起着重要作用,无论是在工农生产、交通运输、国防宇航、医疗卫生、商务与办公设备,还是日常生活中的家用电器,都大量的使用着各种各样的电机,如汽车、电视机、电风扇、空调等等也离不开电机。同时,在越来越多的应用场合,只能旋转的电机己无法满足要求,而是要求能够实现快速加速、减速或反转以及准确停止等功能。必须寻找新的电机控制器来适应时代的发展。直流电动机的控制器经历了从模拟控制器到数字控制器的发展。由于模拟器件的参数受外界影响大,而且精度也较差。数字控制器与模拟控制器相比较,具有可靠性高、参数调整方便、控制精度高、对环境因素不敏感等优点。随着工业电气化、自动控制和家电产品等领域对电机控制产品的需求,人们对电机控制技术的要求有所提高。由于传统的8位单片机其内部体系结构和计算功能等条件限制,在实现各种先进的电机控制理论和高效的控制算法时遇到了困难。因此,目前最为普遍的做法是使用高性能的数字信号处理器(dsp)来解决电机控制器不断增加的计算量和速度的需求。将一系列外围设备如模数转换器、脉宽调制发生器、和数字信号处理器集成在一起组成复杂的电机控制系统。随着eda技术的发展,用基于现场可编程门阵列fpga的数字电子系统对电机进行控制,为实现电动机数字控制提供了一种新的有效方法。现场可编程门阵列(fpga)器件集成度高、体积小、速度快,以硬件电路实现算法程序,将原来的电路板级产品集成为芯片级产品,从而降低了功耗,提高了可靠性。传统pwm控制电路往往存在电路设计复杂、体积大、抗干扰能力差以及设计困难、设计周期长等缺点,现在国内外市面上已经有具有pwm模块的专用芯片,但是这些产品性价比比较差,功能不够丰富,使用起来也不灵活方便,很难适合广大客户的需求。随着电子技术的发展,特别是专用集成电路(asic)设计技术的日趋完善,数字化的电子自动化设计(eda)工具给电子设计带来了巨大变革,尤其是硬件描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便。针对以上情况,本课题提出现场可编程门阵列(fpga)的pwm控制电路设计1。 本科毕业设计说明书(论文) 第 2 页 共 37 页1.2 课题研究的目的及其意义直流电动机因为具有良好的启动性能和宽广平滑的调速特性,从而被广泛应用于电力机车、无轨电车、轧钢机、机床和启动设备等这些需要经常启动并调速的电气传动装置中,直流发电机主要用作直流电源。此外,小容量直流电机大多在自动控制系统中以伺服电动机、测速发电机等形式作为测量、执行原件使用。直流电机大多数采用pwm(脉宽调制)的方法进行控制,它有两种模式:一种是采用模拟电路控制,另一种是采用数字的控制。模拟控制由于其调试复杂等固有原因,正逐渐被淘汰。而在数字控制技术中,fpga的数字pwm控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点广泛的被人们使用,应而研究fpga具有十分重要的意义。对于本次设计目的在于:(1)掌握基于fpga的直流电机pwm控制原理,学会应用eda技术进行编程(2)通过对本课题的研究,掌握eda开发技术的编程方法,培养创新意识和理论联系实际的学风。熟悉现代电子产品的设计流程。fpga用于控制领域特别是电机控制还是比较少的,本设计为电机控制系统提供一种的控制技术,在电机控制方面作了一些片内系统的初步研究。本设计将电机控制所使用的一些基本功能尽可能地集成在一片fpga上,本设计论述了利用fpga对直流电机进行控制时所起的各部分功能pwm波的产生、在线调速、正反向控制逻辑,并利用硬件描述语言对pwm波在fpga中进行组合逻辑变换,并进行仿真。当基于fpga的嵌入式系统时,在设计周期之初就不必为每个模块做出用硬件还是软件的选择。如果在设计中间阶段需要一些额外的性能,则可以利用fpga中现有的硬件资源来加速软件代码中的瓶颈部分。由于fpga中的逻辑单元是可编程的,可针对特定的应用而定制硬件。所以,仅使用所需要的硬件即可,而不必做出任何板级变动(前提是fpga中的逻辑单元足够用)。设计者不必转换到另外一个新的处理器或者编写汇编代码,就可做到这一点。使用带有可配置处理器的fpga可获得设计灵活性。设计者可以选择如何实现软件代码中的每个模块,如用定制指令,或硬件外围电路。此外,还可以通过添加定制的硬件而获取比现成微处理器更好的性能。另一点要知道的是,fpga有充裕的资源,可配置处理器系统可以充分利用这一资源。算法可以用软件,也可用硬件实现。出于简便和成本考虑,一般利用软件来实现大部分操作,除非需要更高的速度以满足性能指标。软件可以优化,但有时还是是不够的。如果需要更高的速度,利用硬件来加速算法是一个不错的选择。fpga使软件模 本科毕业设计说明书(论文) 第 3 页 共 37 页块和硬件模块的相互交换更加简便,不必改变处理器或进行板级变动。设计者可以在速度、硬件逻辑、存储器、代码大小和成本之间做出折衷。利用fpga可以设计定制的嵌入式系统,以增加新的功能特性及优化性能。目前,虽然由晶闸管整流元件组成的固态直流电源设备已基本上取代了直流发电机,但直流电动机仍因为其良好调速性能的优势在许多传动性能要求高的场合占据一定的地位,而fpga又具有很强的性能及其优势,基于fpga的直流电机的控制还是有应用价值2。1.3 课题国内外研究现状 在国外,pwm源于上世纪九十年代,其思想源于通信技术,但随着现代电子技术的发展使得pwm理论越来越成熟,其发展的速度越来越快速。已经取代传统的可控硅电机调速系统。由原先的“电机控制”“电气传动”已发展到“运动控制”的新阶段。igbt、电力mosfet等为代表的全控型器件的不断完善给pwm控制技术提供了强大的物质基础。在国内pwm有理论基础逐渐成熟,但在应用上,国内外差距也很大。pwm调速系统的应用是近年来才开始的,原因是我国的电子工业的基础比较差。pwm调速系统中所需的关键部件igot管靠进口。近年来,我国已开发出具有自主知识产权的igot大电流晶体管,从而为该技术推行奠定了物质基础。pwm电机调速方案是未来电机拖动系统的首选方案,是实现电机拖动数字控制的基础。1.4 课题研究的主要内容及其安排1.4.1 研究的内容1.了解直流直流电机的结构、主要技术参数、工作原理和调速原理,并且对直流电机的pwm控制进行研究分析。2.对要进行的研究进行分析,采用模块化设计,包括pwm控制模块等等。3.重点掌握利用quartus软件设计数字系统的方法。4.利用vhdl语言编写直流电机pwm控制代码。能够实现直流电机的速度控制,旋转方向控制,变速控制。1.4.2 本文的安排 本文总包括六章内容。第二章先阐述了直流电机的特点及其工作原理与主要参数。第三章讲述了直流电机pwm调速的原理,单片机与fpga直流电机调速方案的比较。第四章介绍了本次设计pwm调速电路的设计,每个部分的构造以及如何运转的。 本科毕业设计说明书(论文) 第 4 页 共 37 页第五章简单介绍了vhdl语言和quartus开发系统。第六章fpga内部逻辑电路和各个模块组成以及仿真图形。 本科毕业设计说明书(论文) 第 5 页 共 37 页2 直流电机的基本知识2.1 直流电机的特点 直流电动机与交流电动机相比较,具有良好的调速性能和启动性能。直流电动机具有宽广的调速范围,平滑的无级调速特性,可实现频繁的无级快速启动、制动和反转;过载能力大,能承受频繁的冲击负载;能满足自动化生产系统中各种特殊运行的要求。而直流发电机则能提供无脉动的大功率的直流电源,且输出的电压可以精确地调节和控制。但直流电机也有它显著的缺点:一是制造工艺复杂,消耗有色金属较多,生产成本高;二是运行的时候由于电刷与换向器之间容易产生火花,所以可靠性比较差,维护比较困难。所以在一些对调速性能要求不高的领域中己被交流变频调速系统所取代。但是在某些要求调速范围大、快速性高、精密度好、控制性能优异的场合,直流电动机的应用目前仍然占有较大的比重。2.2 直流电机的基本结构直流电机由定子(静止部分)和转子(转动部分)两大部分组成。 图2.1 直流电机的基本结构a)定子部分 定子部分包括机座、主磁极、换向极和电刷装置等。 1)机座 机座有两个作用,一是作为电机磁路系统中的一部分,二是用来固定主磁极、换 本科毕业设计说明书(论文) 第 6 页 共 37 页向极及端盖等,起机械支撑的作用。因此要求机座有好的导磁性能及足够的机械强度和刚座,机座通常用铸钢或厚钢板焊成。 2)主磁极 在大多数直流电机中,主磁极是电磁铁,如图2.1的n、s就是主磁极,主磁极铁芯用1-1.5mm厚的低碳钢板叠加而成,整个磁级用螺钉固定在机座上。 主磁极的作用是在定转子之间的气隙中建立磁场,使电枢绕组在此磁场的作用下感应电动势和产生电磁转矩。3)换向极 换向极又称附加极或间极,其作用是以改善换向。换向极装在相邻两主磁极n、s之间,由铁心和绕组构成。铁芯一般用整块钢或钢板加工而成。换向极绕组与电枢绕组串联。 4)电刷装置 在图2.1中,a、b表示电刷。它的作用是把转动的电枢绕组与静止的外电路相连接,并与换向器相配合,起到整流或逆变器的作用。 b)转子部分 直流电机的转子称为电枢,包括电枢铁芯、电枢绕组、换向器、风扇、轴和轴承等。 1)电枢铁芯 电枢铁芯是电机主磁路的一部分,且用来嵌放电枢绕组。为了减少电枢旋转时电枢铁芯中因磁通变化而引起的磁滞及涡流损耗,电枢铁心通常用0.5mm厚的两面涂有绝缘漆的硅钢片叠加而成。 2)电枢绕组 电枢绕组是由许多按一定规律连接的线圈组成,它是直流电机的主要电路部分,也是通过电流和感应电动势,从而实现机电能量转换的关键部件。线圈用包有绝缘的导线绕制而成嵌放在电枢槽中。每个线圈(也称元件)有两个出线端,分别接到换向器的两个换向片上。所有线圈按一定规律连接成一闭合回路。 3)换向器 换向器也是直流电机的重要部件。在直流电动机中,它将电刷上的直流电流转换成绕组内的交流电流;在直流发电机中,它将绕组内的交流电动势转换成电刷端上的直流电动势。换向器由许多换向片组成,每片之间相互绝缘。换向片数与线圈元件数 本科毕业设计说明书(论文) 第 7 页 共 37 页相同3。2.3 直流电机的工作原理 直流电动机在机械构造上与直流发电机完全相同,直流电动机的工作原理图如图2.2所示。电枢不用外力驱动,把电刷a、b接到直流电源上,假定电流从电刷a流入线圈,沿abcd方向,从电刷b流出。载流线圈在磁场中将受到电磁力的作用,其方向按左手定则确定,ab边受到向上的力,cd边受到向下的力,形成电磁转矩,结果使电枢逆时针方向转动,如图2.2a所示。当电枢转过90时,如图2.2b所示,线圈中虽然无电流和力矩,但是在惯性的作用下继续旋转。当电枢转过180的时候,如图2.2c所示,电流仍然从电刷a流入线圈,沿dcba方向,从电刷b流出。与图2.2a比较,通过线圈的电流方向改变了,但两个线圈边受电磁力的方向却没有改变,即电动机只朝一个方向旋转。若要改变其转向,则必须改变电源的极性,使电流从电刷b流入,从电刷a流出才行3。 图2.2 直流电机的工作原理图a) 受电磁力,逆时针转动 b) 不受电磁力,惯性转动c) 受电磁力,逆时针转动 d) 不受电磁力,惯性转动由以上分析可得直流电动机的工作原理是:当直流电动机接入直流电源时,借助于电刷和换向器的作用,使直流电动机电枢绕组中流过方向交变的电流,从而使电枢产生恒定方向的电磁转矩,进而保证了直流电动机朝一定的方向连续旋转3。2.4 直流电机的主要技术参数 为了使电机安全可靠地工作,且保持优良的运行性能,电机厂家根据国家标准及 本科毕业设计说明书(论文) 第 8 页 共 37 页电机的设计数据,对每台电机在运行中的电压,电流,功率,转速等规定了保证值,这些保证值就是直流电机的主要技术参数,直流电机的主要技术参数有:直流电机的转速,是指电机实际转动的速度n; (2.1) 为电枢电动势,为电动势常数,是磁通量。 额定功率(容量)pn,是指电刷输出的电功率,单位为kw; (2.2) 额定电压,指额定状态下电枢出线端的电压,单位为v; 额定电流,指电机在额定电压、额定功率时的电枢电流值,单位为a; 额定转速,指额定状态下运行时转子的转速,单位r/min; (2.3)2.5 本章小结 本章简单介绍了直流电机的基本结构,工作原理以及主要参数,对直流电机有了一定的了解,在下面对直流电机pwm控制设计中起到铺垫作用。 本科毕业设计说明书(论文) 第 9 页 共 37 页3 直流电机pwm调速系统方案设计3.1 直流电机pwm调速原理所谓脉冲宽度调制是指用改变电机电枢电压接通与断开的时间的的占空比来控制电机转速的方法,称为脉冲宽度调制(pwm)。对于直流电机调速系统,使用fpga进行调速是极为方便的。其方法是通过改变电机电枢电压导通时间与通电时间的比值,即占空比,来控制电机速度6。pwm调速原理如图3.1所示。 图3.1 pwm调速原理在脉冲作用下,当电机通电时,速度增加,电机断电时,速度逐渐减少。只要按一定规律,改变通、断电时间,即可让电机转速得到控制。设电机永远接通电源时,其转速最大为,设占空比为,则电机的平均速度为 (3.1) 式中,电机的平均速度 电机全通时间的速度(最大) 占空比 平均速度与占空比的函数曲线,如图3.1所示。 本科毕业设计说明书(论文) 第 10 页 共 37 页 图3.2 平均速度与占空比的关系 由图3.2所示可以看出,与占空比并不是完全线性关系(图中实线),当系统允许时,可以将其近似的看成线性关系(途中虚线)。因此也就可以看成电机电枢电压与占空比成正比,改变占空比的大小即可控制电机的速度。 由以上叙述可知:电机的转速电枢电压成比例,而电机电枢电压与控制波形的占空比成正比,因此电机的速度与占空比成比例,占空比越大,电机转得越快,当占空比时,电机转速最大5。3.2 基于单片机的直流电机pwm调速方案 如图3.3所示为基于单片机的直流电机pwm调速方案的系统方框图。d/a转换器接在单片机at89c51的p0引脚上,由软件编程的产生的信号从p0脚输出经d/a转换器后输出周期性线性增加的锯齿波电压,同时在模拟比较器另一端接给定的参考电压。当锯齿波电压小于参考电压时输出低电平,当锯齿波电压大于参考电压时输出高电平。改变滑动电阻的值便可以改变参考电压的大小,从而改变pwm波形中高电平的宽度,改变直流电机的占空比,改变直流电机的速度。 本科毕业设计说明书(论文) 第 11 页 共 37 页图3.3 基于单片机控制的pwm调速系统 关于电机运动方向控制,本设计在单片机的p2口引出两个端口p2.1、p2.2控制直流的方向。其控制的原理是在pwm波形输出端加上两个与门,其分别与电机的方向控制端p2.1、p2.2相与,其具体的连接如图3.2。当p2.1、p2.2输出02h控制模型(p2.1=1,p2.2=0)时,三极管v1和v4导通,v2和v3截止,电机全速正转。当p2.1、p2.2输出01h模型(p2.1=0,p2.2=1)时,v1和v4截止,v2和v3导通,电机全速反转。在这里需要注意的问题的是,当输出全为1时,电机刹车,全为0时,电机滑行5。工作状态表如下:表3.1 电机工作状态真值表 从以上的分析可知基于单片机的直流电机pwm调速方案要用到d/a转换器、模拟比较器,外围电路比较复杂。3.3 基于fpga的直流电机调速方案 本科毕业设计说明书(论文) 第 12 页 共 37 页如图3.4所示为基于fpga的直流电机调速方案的方框图,fpga中的数字pwm控制基于单片机pwm控制不同,用fpga产生pwm波形,只需要fpga内部资源就可以实现,如数字比较器、锯齿波发生器等均为fpga内部资源,只要直接调用就可以。外部端口u_d、en1、z/f、start接在键盘电路上,clk2和clk0接在外部时钟电路上,所用到的时钟频率为100mhz和50mhz,其具体的连接方式见下章图4.1。 图3.4 基于fpga的直流电机调速系统其工作原理是:设定值计数器的设置pwm的占空比。当u/d=1时,输入clk2,使设定值计数器的输出值增加, pwm的占空比增加,电机转速加快;当u/d=0时,输入clk2,使设定值计数器的输出值减小,pwm的占空比减小,电机转速变慢。在clk0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的pwm波形。旋转方向控制电路控制直流电动机转向和启/停,该电路由两个2选1的多路选择器组成,z/f键控制选择pwm波形是从正端z进入h桥,还是从负端f进入h桥,以控制电机的旋转方向。当z/f=1时,pwm输出波形从正端z进入h桥电机正转。当 z/f =0时,pwm输出波形从负端f进入h桥,电机反转。start键通过“与”门控制pwm输出,实现对电机的工作停止/控制。当start=1时,与门打开,允许电机工作。当start=0时,与门关闭,电机停止转动。h桥电路由大功率晶体管组成,pwm输出波形通过方向控制电路送到 h 桥, 经功 本科毕业设计说明书(论文) 第 13 页 共 37 页率放大以后对直流电机实现四象限运行。并由en1信号控制是否允许变速6。3.4 方案论证与基于单片机的直流电机pwm调速方案相比,基于fpga的直流电机pwm控制省去了外接的d/a转换器和模拟比较器fpga外部连线很少,电路更加简单,便于控制。兼于fpga的直流电机pwm控制具有精度高,反应快,外部连线少,电路简单,便于控制等优点,因此本设计采用基于fpga的直流电机pwm控制方案。3.5 本章小结 本章对于直流电机pwm调速原理作了简单的介绍,又对单片机直流电机pwm调速方案与fpga直流电机pwm调速方案进行了比较,分析出后者的优势明显,所以选择后者更加的简单,方便。 本科毕业设计说明书(论文) 第 14 页 共 37 页4 直流电机pwm调速控制电路设计 如图4.1所示,基于fpga的直流电机pwm控制电路主要由四部分组成:控制命令输入模块、控制命令处理模块、控制命令输出模块、电源模块。键盘电路、时钟电路是系统的控制命令输入模块,向fpga芯片发送命令,fpga芯片是系统控制命令的处理模块,负责接收、处理输入命令并向控制命令输出模块发出pwm信号,是系统的控制核心。控制命令输出模块由h型桥式直流电机驱动电路组成,它负责接收由fpga芯片发出的pwm信号,从而控制直流电机的正反转、加速以及在线调速。电源模块负责给整个电路供电,保证电路能够正常的运行7。图4.1 基于fpga的直流电机pwm控制电路4.1 系统工作原理 在图4.1中所示的fpga是根据设计要求设计好的一个芯片,其内部逻辑电路如图6.1。 本科毕业设计说明书(论文) 第 15 页 共 37 页 start是电机的开启端,u_d控制电机加速与减速,en1用于设定电机转速的初值,z_f是电机的方向端口,选择电机运行的方向。clk2和clk0是外部时钟端,其主要作用是向fpga控制系统提供时钟脉冲,控制电机进行运转。通过键盘设置pwm信号的占空比。当u_d=1时, 表明键u_d按下,输入clk2使电机转速加快,当u/d =0,表明键u_d松开,输入clk2使电机转速变慢,这样就可以实现电机的加速与减速。 z_f键是电机运转的方向按键,当把z_f键按下时,z_f=1,电机正转;反之z/f=0时,电机反转。 start是电机的开启键,当start=1,允许电机工作;当start=0时,电机停止转动。 h桥电路由大功率晶体管组成,pwm输出波形通过由两个二选一电路组成的方向控制电路送到h桥, 经功率放大以后对直流电机实现四象限运行。并由en1信号控制是否允许变速。4.2 键盘电路设计本设计系统的命令输入模块是键盘电路和时钟电路,通过以按键的方式向fpga控制系统表达人的命令来实现直流电机的正转、反转、停止和加减速,实现人机互换。下面就对键盘电路和时钟电路的类型以及工作原理分别进行论述。键盘电路有两种类型,其中一种是独立式键盘电路。独立式键盘电路结构简单、操作方便,在目前这种结构的键盘应用还非常普遍。只是这种键盘电路的每个按键都要占用一根i/o口线,这样的话,随着按键的增加将使i/o口线不足。因此,这种键盘电路只有在按键比较少的情况下比较适用。另一种键盘电路是矩阵式键盘电路,这种键盘电路的按键设置在行线和列线的交叉点上,因此在有限的i/o口线上可以设置比较多的按键。只是这种键盘电路结构、编程都比较复杂。在键盘电路中往往可以与一个与非门电路构成带中断的键盘电路。这种键盘电路上的每个按键可以单独工作而且响应时间快。这种带中断式的键盘电路现在应用已经相当的普遍。 如图4.2所示,所采用的键盘电路是独立式键盘电路。其4个功能键sb1,sb4连线分别接在fpga控制系统的4个端口上,并分别往上各引一条接线串一个1k的上拉电阻接在+5v电源上。当4个键都没有被按下去时,对应的各条列线全部为高电平,在cmos非门,的作用下每个端口的电平为低电平。其中一个按钮按下去时其对应的输出端口在非门的作用下由低电平变为高电平,从而启动相应的功能8。 本科毕业设计说明书(论文) 第 16 页 共 37 页 图4.2 键盘电路在键盘电路设计中,需要解决按键抖动的问题。多数键盘的按键均采用机械弹性开关,一个电信号通过机械触点的断开、闭合过程,完成高低电平的切换。由于机械触点的弹性作用,一个按键开关在闭合和断开的瞬间必然伴随一连串的抖动。为了排除抖动的影响,在按键和输出端并上一个电阻、一个电容。如图4.3所示。 图4.3 防抖动电路 由图4.3可知,当键sb1未按下时,电容c两端的电压均为1,非门输出为0。当键sb1按下时,由于c两端电压不可能产生突变。尽管接触过程中可能出现抖动,只要适当的选择r和c值,即可保证电容c两端的放电电压波动不会超过非门的开启电压(ttl为0.8v),非门的输出将维持低电平。同理,当触点k断开时,由于电容c经过r2充电,c两端的充电电压波动不会超过非门的关闭电压,因此,非门的输出也不会改变,从而达到防抖动的效果9。4.3 系统时钟电路设计 fpga是在系统时钟脉冲作用下进行的,在fpga应用系统中,要求采用石英晶振 本科毕业设计说明书(论文) 第 17 页 共 37 页作为时钟脉冲,如图4.4所示,是采用有源石英晶振构成的系统时钟电路。在该电路中,1脚悬空,2脚接地,3脚接输出,4脚接电源。3脚时钟脉冲输出后接在fpga的clk0时钟端,另一路经二分频电路进行分频后接在clk2时钟端。在clk0和clk2的共同作用下,系统进行工作。 时钟输入是系统电路中必不可少的一部分。它能为fpga提供时钟脉冲信号。考虑到eda开发系统时钟输入的重要性。一个是50mhz的有源晶振作为时钟信号源输入,主要用于输入大的时钟信号,为波形发生器提供基准的时钟脉冲输入。 图4.4 时钟电路图有源晶振的驱动能力强,晶振频率比较大,能达到几百兆hz,采用有源晶振作为时钟源可以使电路的时钟扩大。ho-12系列的有源晶振采用ttl/hcmos技术,频率范围是1000hz-1000mhz,这里我们采用的是100mhz的有源晶振。 把d触发器的输出反馈回输入端与d连接就形成一个二分频电路,如图3.5所示,从波形图可以看出q输出的波形将是cp脉冲周期的两倍,即频率是为cp脉冲的一半10。 图4.5 d触发器接成二分频4.4 h型桥式驱动电路设计 直流电机驱动电路使用最广泛的就是h型全桥式驱动电路,这种驱动电路可以很 本科毕业设计说明书(论文) 第 18 页 共 37 页方便实现直流电机的四象限运行,分别对应正转、正转制动、反转、反转制动。它的基本原理图如图4.6所示。 图4.6 h型桥式驱动电路 h型全桥式驱动电路的4只三极管都工作在斩波状态,v1、v4为一组,v2、v3为另一组,两组的状态互补,一组导通则另一组必须关断。当v1、v4导通时,v2、v3关断,电机两端加正向电压,可以实现电机的正转或反转制动;当v2、v3导通时,v1、v4关断,电机两端为反向电压,电机反转或正转制动。在直流电机运转的过程中,我们要不断地使电机在四个象限之间切换,即在正转和反转之间切换,也就是在v1、v4导通且v2、v3关断,到v1、v4关断且v2、v3导通,这两种状态之间转换。在这种情况下,理论上要求两组控制信号完全互补,但是,由于实际的开关器件都存在开通和关断时间,绝对的互补控制逻辑必然导致上下桥臂直通短路,比如在上桥臂关断的过程中,下桥臂导通了11。为了避免直通短路且保证各个开关管动作之间的同步性,两组控制信号在理论上要求互为倒相的逻辑关系,而实际上却必须相差一个足够的死区时间,这个矫正过程既可以通过硬件实现,即在上下桥臂的两组控制信号之间增加延时。 驱动电流不仅可以通过主开关管流通,而且还可以通过续流二极管流通。当电机处于制动状态时,电机便工作在发电状态,转子电流必须通过续流二极管流通,否则电机就会发热,严重时烧毁。开关管的选择对驱动电路的影响很大,开关管的选择宜遵循以下原则: a) 由于驱动电路是功率输出,要求开关管输出功率较大 。 b) 开关管的开通和关断时间应尽可能小。 本科毕业设计说明书(论文) 第 19 页 共 37 页 c) 直流电机使用的电源电压不高,因此开关管的饱和压降应该尽量低 在实际制作中,我们可选用大功率达林顿管tip122或场效应管irf530效果都还不错。现在为了取材方便,我们选用三极管作为驱动电路的开关管。 从前面的分析可知,h型全桥式驱动电路中,由于开关管有开通和关断时间,因此存在上下桥臂直通短路的问题。直通短路的存在,容易使开关管发热,严重时烧毁开关管,同时也增加了开关管的能量损耗。由于现在的许多集成驱动芯片内部已经内置了死区保护(如lmd18200),这里主要介绍的是利用开关管等分立元件以及没有死区保护的集成芯片制作驱动电路时增加死区的方法。死区时间的问题,只有在正转变为反转或者反转变为正转的时候才存在,而在正转启动或反转启动的时候并没有,因此不需要修正。如果开关管的开通和关断时间非常小,或者在硬件电路中增加延时环节,都可以降低开关管的损耗和发热。当然,通过软件避免直通短路是最好的办法,它的操作简单,控制灵活。通过软件实现死区时间,就是在突然换向的时候,插入一个延时的环节,待开关管关断之后,再开通应该开通的开关管。在开关管每次换向的时候,不立即进行方向的切换,而是先使开关管关断一段时间,使其完全关断后再换向打开另外的开关管。这个关断时间由软件延时实现。以上主要分析了电机的全桥式驱动电路,这是直流电机调速使用最多的调速方法。目前市场上有很多种电机驱动的集成电路,效率高,电路简单,使用也比较广泛但是其驱动方法大多与全桥式驱动一样。pwm控制方法配合桥式驱动电路,是目前直流电机调速最普遍的方法。4.5 电源电路的设计由于电机在正常工作时对电源的干扰很大,如果只用一组电源时会影响系统的正常工作,所以我们选用双电源供电。一组5v给控制电路供电,另外一组12v给电机供电。 如图4.7所示。电源部分分为两路,一路直接提供12伏的直流电源,主要是提供给电机使用,另一路通过三端稳压芯片7805稳压成5伏直流电源提供给键盘电路和时钟电路使用,右边两个电容是5伏电源的滤波电容,绿色的led作为工作指示灯只要电源部分正常,绿色的led就会点亮,我们可以根据这个led来判断整个电源部分是否工作正常12。 本科毕业设计说明书(论文) 第 20 页 共 37 页 图4.7 电源电路4.6 本章小结 本章介绍了系统整个电路的设计组成,详细描述了系统是如何工作的。分别对系统的每一个子电路进行了讲解分析,每一个子电路的功能。 本科毕业设计说明书(论文) 第 21 页 共 37 页5 硬件描述语言vhdl及开发系统quartus 硬件描述语言是电子系统硬件行为描述、结构描述、数据描述的语言。目前利用硬件描述语言可以进行数字电子系统的设计。随着研究的深入,利用硬件描述语言进行模拟电子系统设计或混合电子系统设计,也在探索中。5.1 vhdl语言介绍vhdl(very high speed integrated circuit hardware description language)即超高速集成电路硬件描述语言,没过国防部在20世纪80年代后期开发了vhdl语言。vhdl工作小组于1981年6月成立,提出了一个满足电子设计各种要求的能够作为工业标准的hdl。1983年第3季度,由ibm公司、ti公司、intermetrics公司签约,组成开发小组,工作任务式提出语言版本和软件开发环境。1986年ieee标准化组织开始工作,讨论vhdl标准语言,历时一年有余,1987年12月通过标准审查,并宣布实施,即ieee std 1076-1987lrm87。1993年vhdl重新修订,形成新的标准,即ieee std 1076-1993lrm93。此语言设计技术齐全、方法灵活、可与制作工艺无关、编程易于共享,所以成为硬件描述语言的主流,成为标硬件描述语言。将vhdl程序写入可编程芯片中,做成asic芯片,因其开发周期短,更改方便,所以将在大范围内取代单片机控制电路,成为未来数字电路设计的主流。由于半导体编程技术的快速进步,vhdl所能提供的高阶电路描述语言方式,是复杂的电路可以通过vhdl编辑器的电路方式,轻易而快速的达到设计的规格。vhdl电路描述语言所能涵盖的范围相当广,能适用于不同阶层的设计工程师的需求。从asic的设计到pcb系统的设计,vhdl电路描述语言都能派上用场,所以vhdl电路设计毫无疑问的成为硬件设计工程师的必备工具。目前,vhdl也成为fpga/cpld编程最常用的工具。vhdl作为eda的重要组成部分,提供了借助计算机进行数字系统设计的一种很好的手段。用vhdl进行设计有许多优点,vhdl的硬件描述能力很强,可以从门级、电路级直至系统级的描述、仿真、综合和调试。利用vhdl丰富的仿真语句和库函数,对大系统的早期设计,可在远离门级的高层次上进行模拟,以利用设计者确定整个设计结构和功能的可行性。vhdl强大的行为描述能力和程序结构,使其具有支持对大规模设计进行分解,以及对已有的设计进行再利用的功能。运用vhdl设计系统硬件具有相对独立性,设计中没有嵌入与工艺有关的信息,对硬件的描述与具体的工艺技术 本科毕业设计说明书(论文) 第 22 页 共 37 页和硬件结构无关。当门级或门级以上的描述通过仿真检验后,再用相应的工具将设计映射成不同的工艺,这使硬件实现的目标器件有很宽的选择范围,并且修改电路与修改工艺(或选择器件)相互之间不会产生不良的影响。vhdl标准、规范,语法较为严格,采用vhdl的设计不必改变源程序,只需改变类属参数或函数,就可以改变设计的规模和结构。当然,vhdl也存在不足,如电路采用高级而简明的文本文件方式进行描述的同时,放弃了对电路门级实现定义的控制;由于综合工具进行逻辑综合的实现效果有时不太理想;工具的不同也导致了综合质量的差异。由于vhdl是一种硬件描述语言,设计者需要较多的了解数字电路与逻辑设计方面的电路知识;而更为重要的是必须以一种并行语言的思路去理解和应用vhdl。vhdl描述的是实际的电路系统。电路系统内各功能个模块的工作状态可以相互独立、互补相关,也可以互为结果;也就是说,任一时刻,电路系统中可有许多相关的和不相关的时间同时发生,为适应实际电路系统的工作方式,vhdl以顺序和并行的多种语句方式对同一时刻电路中所有可能发生的时间进行描述。可以认为,vhdl是一种语言。当然,vhdl仍旧保留着计算机语言的基本特征。用vhdl进行的设计描述只是综合区赖以构建硬件电路结构的依据,不可能代替硬件电路真实的行为方式。如用vhdl的顺序语句可以描述多路选择器、译码器等以并行工作为特征的电路,但实际电路并不按照逐个顺序判断的工作方式进行。因而,尽可能了解软件语言与硬件结构间的联系,了解软件背后的硬件工具行为和硬件结构方式,将有助于实现高质量的vhdl设计。总之,vhdl是eda技术最重要的应用工具。离开硬件描述语言的支持,eda技术讲无法应用。1995年我国国家技术监督局制定的cad通用技术规范推荐将vhdl作为我国电子设计自动化硬件描述语言的国家标准。目前,vhdl已经作为世界上各家eda工具和集成电路厂商普遍认同和共同推广的硬件描述语言。掌握vh

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论