基于单片机的低频信号发生器的设计.doc_第1页
基于单片机的低频信号发生器的设计.doc_第2页
基于单片机的低频信号发生器的设计.doc_第3页
基于单片机的低频信号发生器的设计.doc_第4页
基于单片机的低频信号发生器的设计.doc_第5页
已阅读5页,还剩65页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

本科毕业设计(论文)基于单片机的低频信号发生器的设计龚珣燕 山 大 学2009年6月本科毕业设计(论文)基于单片机的低频信号发生器的设计学院(系): 电子工程系 专 业: 05级通信工程 学生 姓名: 龚 珣 学 号: 051304031101 指导 教师:张文武 王成儒 答辩 日期: 2009.6.17 燕山大学毕业设计(论文)任务书学院: 里仁学院 系级教学单位: 电子与通信工程系 学号051304031101学生姓名龚珣专 业班 级05通信4班题目题目名称基于单片机的低频信号发生器的设计题目性质1.理工类:工程设计 ( );工程技术实验研究型( );理论研究型( );计算机软件型( );综合型( )2.管理类( );3.外语类( );4.艺术类( )题目类型1.毕业设计( ) 2.论文( )题目来源科研课题( ) 生产实际( ) 自选题目( ) 主要内容1、学习波形信号发生器的工作原理。2、通过比较选择一种合适的单片机并了解其原理。3、具有产生正弦波、三角波、方波三种周期性波形的功能。4、输出波形的频率范围为:11000hz。基本要求1通过学习相应书籍和查阅资料,了解课题研究的目的和意义,对课题目前的发展有所了解和掌握。2给出完整电路设计,包括电路原理图。3完成毕业设计论文一份,格式符合燕山大学毕业设计论文规范,并用word排版。参考资料1燕山大学网上图书馆。中国期刊全文数据库。优秀硕博论文2相关元件的芯片手册3燕山大学网上英文资料.eisevier周 次第14周第58周第913周第1416周第1718周应完成的内容收集资料熟悉课题内容确定设计思路设计系统硬件设计系统软件系统设计优化论文撰写课题总结准备答辩指导教师:张文武职称:工程师 2009年3月3日系级教学单位审批: 年 月 日燕山大学本科生毕业设计(论文)摘要本文是基于单片机的低频信号发生器的设计。我所设计的信号发生器是由单片机at89c51,d/a转换器dac0832,低频放大器lm324和四位一体数码管实现的。本系统输出的电压范围是05v,频率范围是11000hz,以电压的方式输出正弦波、三角波和方波信号,用数码管显示信号的频率。可通过键盘选择输出波形和调节频率的大小。该信号发生器具有操作简便、灵活,性价比高和智能化的特点,可广泛用于电子测量、调试工程中。本文首先对信号发生器的原理,发展历史进行了较全面的介绍,为本次设计奠定了扎实的基础。其次,介绍了信号发生器的种类,通过对几种不同低频信号发生器的比较从中确定本次设计方案,并介绍其基本设计原理。其次,通过学习at89c51和dac0832的主要结构和功能,设计了一种以这两个芯片为核心的低频信号发生器。本次设计主要是通过软件控制整个电路系统,最后通过软件的主程序流程图和子程序流程图介绍本系统软件的工作过程。关键词信号发生器;单片机at89c51;d/a转换;低频放大器i 燕山大学本科生毕业设计(论文)abstractthis article is according to the low-frequency signal generator single-chip design. i designed the signal generator is made up with a single-chip microcomputer of at89c51, d/a converter of dac0832, low-frequency amplifier of lm324 and four-in-one digital control to achieve. the system can output the electric voltage biggest be worth for the 0-5 v. the frequency is a 1-1000 hz of rectangle wave, triangle wave, and sine wave,for third kinds of form signal.,output voltage waveform signal with a digital display signal frequency. the signal generator is simple, flexible, cost-effective and intelligent features, can be widely used in electronic measurement and testing work.this article first introduce signal generating device principle, the historical development has been carrying on the comprehensive introduction, has laid the solid foundation for this design. secondly, introduced signal generating devices type, through to several kind of different low-frequency signal generators comparison definite this design proposal, and introduces its important job principle. thridly, through studies at89c51 and the dac0832 primary structure and the function, has designed one kind of these two chips as the core low-frequency signal generator. this design is mainly through the software control entire circuitry, finally introduces this system software work process through softwares master routine flow chart and the subroutine flow chart.keywordsthe signal occurrence machine;monolithic machine at89c51; d/a conversion;low noise amplifierii 目 录摘要iabstractii第1章 绪论11.1 课题背景11.2 信号发生器的发展历史11.3 信号发生器发展趋势及现状31.4 课题主要内容和章节安排4第2章 低频信号发生器的设计原理62.1 信号发生器的种类62.1.1 按输出信号频率范围分类62.1.2 按输出波形分类62.1.3 按信号发生器的性能分类72.2 低频信号发生器的方案设计72.2.1 方案一72.2.2 方案二82.2.3 方案三92.3 基于at89c51信号发生器的方案设计及原理92.3.1 信号发生器的硬件电路设计方案92.3.2 信号发生器的软件电路设计方案112.4 本章小结12第3章 信号发生器的硬件部分123.1 主要器件123.1.1 单片机芯片at89c51123.1.2 数模转换器dac0832373.1.3 lm324的结构与功能393.2 单元电路设计403.2.1时钟电路403.2.2复位电路413.2.3 电源电路423.2.4 数码管显示接口电路433.2.5 键盘接口电路443.2.6 d/a转换电路453.2.7 i/v转换电路463.3 本章小结47第4章 信号发生器的软件部分344.1 主程序流程图344.2 子程序流程图354.2.1 显示子程序流程图354.2.2 正弦波产生流程图364.2.3 方波和三角波产生流程图374.2.4 中断子程序流程图384.3.5 键扫描子程序流程图404.3 本章小结41结 论43参考文献44致 谢46附录147附录252附录356附录466iv燕山大学本科生毕业设计(论文)第1章 绪论1.1 课题背景随着社会科学的进步,电力电子技术的发展,人们对于一些电路分析所需的仪器种类越来越多,同时要求其的精度也越来越高。科学技术的发展应是以面向人性化、智能化、经济化为一体的发展为目标。本论文正是以单片机控制信号发生器为出发点,用单片机作为中央控制器,直接由软件产生波形信号的输出,并可通过软件的修改,达到输出三种波形的目的及其他相关的功能。单片机本身就是一个小型化的微机系统。是将微处理器、存储器、定时/计数器、i/o接口电路等集成在一个芯片上的大规模集成电路。单片机技术与电路设计技术、传感与测量技术、信号与系统分析技术、可编程逻辑应用技术、微机接口技术、数据库技术以及数据结构、计算机操作系统、汇编语言程序设计、高级语言程序设计、软件工程、数据网络通信、数字信号处理、自动控制、误差分析、仪器仪表结构设计和制造工艺等的结合,使得单片机的应用非常广泛。测量过程中用到的信号发生器,通常被称为信号源。在科研、使用、生产、测试和维修各种电子元器件、部件及整机设备时,都需要用信号源提供激励信号,由它产生不同频率、不同波形的电压和电流信号,并加到被测器件、设备上,然后用其他测试仪器观测其输出响应。信号发生器可提供符合一定电技术指标的电信号,其波形、频率和幅度都可调节,并可以准确读出数值。在电子测量中,信号发生器是最基本,应用最广泛的测量仪器。其功用主要有以下三方面:1)作为激励源:作为某些电气设备的激励信号源。2)信号仿真:在设备测量中,常需要产生模拟实际环境特性的信号,如对干扰信号进行仿真。3)校准源:产生一些标准信号,用于对一般信号源进行校准。1.2 信号发生器的发展历史作为工业产品特别是电力、电子产品的研制和生产领域中最重要的测试设备之一,信号发生器的发展历史可以追溯到上世纪40年代。1943年惠普为海军研究实验室开发研制了第一台信号发生器,从而使得人们在测试设备时可以利用可控的信号源进行比较完善和安全的测量和测试。在随后的二十年中,信号发生器一直随着电子技术、计算机技术的发展而发展,成为这些技术发展的一个缩影。从技术上看,信号发生器经历了由模拟式信号发生器、数字式信号发生器到虚拟信号发生器这三个发展过程。从四十到六十年代,信号发生器都是完全用以电子管工艺为基础的模拟电路搭建的,往往其调节范围受到限制,因而划分为音频、高频、超高频、射频和微波等信号发生器,其信号的精度和可控性都不理想,而且可产生的信号的种类较少,对于较复杂信号的产生,其电路构造都非常复杂,体积庞大,不易移动。上个世纪六七十年代,随着晶体管工艺的出现,大规模和超大规模集成电路的大范围的应用,数字电路在信号发生器中得到广泛的应用,从而大大提高了信号发生器的精度,减少了电路本身产生的噪声,体积也大为缩小。八十年代开始,计算机逐渐在工业生活中占据了重要的位置,信号发生器也开始从纯粹的分立元件搭建改为以微处理器为核心的集成系统,这时候的信号发生器也发生了翻天覆地的变化。比如说,它所能产生信号的种类大大增加;任意波信号可以通过人工设定在同一台信号发生器中产生;频宽也很大的增加了;通用性得到大大的提高;过去的多种类的信号发生器也可以简单地划分为低频和高频两种,低频信号的频宽从050mhz,高频则可达到20ghz以上,但它仍存在人机界面不友好,软硬件升级维护困难等缺陷。九十年代以后,虚拟仪器进入了人们的视野。这种完全以计算机软件为核心,辅以相应的硬件设备的测试系统代表了未来测试仪器的发展方向。人们可以在友好的人机见面环境中轻轻松松地进行各种复杂的操作,信号发生器也从一个完全独立的测试设备,进而成为整个虚拟仪器系统中一个必不可少的子模块1。信号发生器中一项关键技术是信号频率的变动控制。早期的信号发生器大都借助电阻电容,电感电容、谐振腔、同轴线等作为振荡回路电路用来产生正弦或其它函数波形,频率的变动由机械驱动可变元件(如电容器或谐振腔)来完成,其缺点是显而易见的,那就是频率不稳,噪声大,频率的改变控制不容易,这时根据技术的发展和科学的需要,锁相频率合成器(phase locked frequency synthesize)应运而生。这是一次技术上的飞跃,它基于锁相环路原理,从一个高准确度、高稳定度的参考晶体振荡器中综合出大量离散频率,集成度高,可靠性好且价格低廉,直到现在锁相频率合成仍然是工程应用中最为普遍的技术。随着科学技术的发展,信号发生器的变化日新月异,直接数字频率合成(direct digit frequency synthesize,ddfs)则是近几年来最新发展的技术,它完全摆脱间接数字合成的乘法/除法电路,直接在基准时钟的准确相位控制下获得合成频率输出,其频率控制模块中的相位累加器由寄存器和加法器组成,相位信息存储在波形存储器内,再经数模转换后输出最低合成频率,随着频率控制输入的增长,输出合成频率亦增加。相位累加器的宽度增加时,输出合成频率的准确度相应增加2。ddfs频率变换速度主要取决于累加器和数模转换器的开关时间,显然要比模拟电路快得多,因此广泛采用ddfs技术是必然的发展趋势。1.3 信号发生器发展趋势及现状随着电子技术的发展,对信号源频率的稳定度、准确度,以及频谱纯度提出越来越高的要求。高精度的信号源对通信系统、电子对抗以及各种电子测量技术十分重要。直接数字频率合成技术(direct digital frequency synthesis,即ddfs,一般简称dds),是从相位概念出发直接合成所需波形的一种新的频率合成技术。和传统的频率合成技术相比它具有频率分辨率高、频率转变速度快、输出相位连续、相位噪声低、可编程和全数字化、便于集成等突出优点,成为现代频率合成技术中的佼佼者,得到越来越广泛的应用,成为众多电子系统中不可缺少的组成部分。英特西尔公司开发研制并投放市场的压控振荡型精密波形发生器icl8038可同时产生正弦波、方波及三角波等信号波形,且输出波形的正弦失真度小,三角波及方波线性度相对较高,频率又容易调节,随温度,环境的稳定性好,抗干扰能力较强。是现在应用广泛的芯片之一3。目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。据最新电子商情报道,从1998年开始,由于国家采取了扩大内需发展经济的决策,扩大了国产任意波信号发生器的市场,销量增长都在30%左右。但我国的任意波信号发生器市场大部分被国外产品所占领,国内产品市场占有率很低。目前,allritsu、agilent、advantest、侧s、tektronix、nl几家著名仪器公司都在生产各类任意波形信号发生器,如agilent公司生产的hp331加a函数/任意波形发生器、advantest公司生产的33120a函数/任意波形发生器。asilent的信号发生器一直是业界公认的高水平仪器,而且种类和型号最多,产品功能全、技术含量高。近几年anritsu、agilent、advantest、侧s,nl等外国公司在我国的销售额逐年大幅度上升,agilent公司在中国销售的任意波形信号发生器已极大地超过国内产量4。我国的仪器技术水平在发展中国家处于领先地位,且产品价格便宜。境外有的销售商己把目标转向国内的产品,出口到北美、欧洲、东南亚的任意波信号发生器逐年增加,所以扩大外销产品是扩大国产任意波信号发生器市场的一条重要出路。总之,努力开发拥有自主知识产权的先进任意波信号发生器己成为我国仪器行业的当务之急。只有这样,国产任意波信号发生器才能在竞争激烈的国内外仪器市场中占有一席之地。1.4 课题主要内容和章节安排本文主要是基于单片机的低频信号发生器的设计。首先对构成信号发生器的主要部分进行设计,掌握它们的基本工作原理。其次对at89c51、dac0832、lm324及其它构成信号发生器的器件的工作原理和电路结构进行重点介绍。再次学习单片机的c语言及产生各种波形的原理。介绍本设计中涉及到的相关知识,完成设计。本文第一章绪论,分为三个小节分别对信号发生器进行了简要的介绍:课题背景、信号发生器的发展历史和发展趋势。本文第二章介绍了信号发生器的种类,低频信号发生器的方案论证和基于at89c51信号发生器的设计原理。本文第三章是本论文的重点,对信号发生器的硬件结构进行介绍,分为两个小节分别对本系统中用到的芯片和单元电路的设计进行了仔细的介绍和分析。本文第四章信号发生器的软件设计,也是本文重点。分为两个小节分别对信号发生器的主程序和子程序流程图进行了介绍。第2章 低频信号发生器的设计原理根据题目要求设计一种基于单片机的低频信号发生器,我先从信号发生器的种类入手,查阅资料对几种由不同单片机组成的信号发生器进行比较,并确定方案2.1 信号发生器的种类信号发生器应用广泛,种类型号众多,性能各异,分类方法也不尽相同,下面介绍几种常见的分类方法。2.1.1 按输出信号频率范围分类按照输出信号的频率范围分类,如表2-1。表中频段的划分,不是绝对的。可见,这两类信号发生器频率范围有重叠,而所谓“射频信号发生器”包含了表中视频以上各类信号发生器。例如,在电子仪器的门类划分中,“低频信号发生器”是指1hz-1mhz频段,波形以正弦波为主,兼有方波及其他波形的信号发生器,“射频信号发生器”则指能产生正弦信号,频率范围部分全部覆盖30khz-1ghz(允许向外延伸),并且具有一种或一种以上调制功能的信号发生器5。表2-1 按输出信号频率范围分类名称频率范围主要应用领域超低频信号发生器30khz以下电声学,声纳低频信号发生器30300khz电报通信视频信号发生器3006mhz无线电广播高频信号发生器630mhz广播,电报甚高频信号发生器30300mhz电视,调频广播,导航超高频信号发生器3003000mhz雷达,导航,气象2.1.2 按输出波形分类信号源有很多种分类方法,其中一种是按输出波形分类,可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的afg3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。2.1.3 按信号发生器的性能分类按信号发生器的性能指标,又可分为一般信号发生器和标准信号发生器。前者是指对其输出信号的频率、幅度的准确度和稳定度及波形失真等要求不高的一类发生器;后者是指输出信号的频率、幅度、调制系数等在一定范围内连续可调,并且读数准确、稳定,屏蔽性良好的中、高档信号发生器。还有其他一些分类方法,例如,按照调节方式,可分为普通信号发生器、扫频信号发生器和程控信号发生器;按照使用范围,可分为通用信号发生器和专用信号发生器(如调频立体声信号发生器、电视信号发生器及矢量信号发生器等);按照频率产生方法又可分为谐振信号发生器、锁相信号发生器及合成信号发生器等。上面所述仅是常用的几种分类方式,而且是大致的分类。2.2 低频信号发生器的方案设计根据论文题目是要求合适的单片机设计一个低频信号发生器。通过查阅资料发现其制作方法有很多种,不同的制作方案各有其优点和缺点。在此,我对其中三种方案进行一些初步比较。2.2.1 方案一rc桥式振荡器是采用rc串并联选频网络的一种正弦波振荡器。该信号发生器由放大电路和选频网络构成。放大电路由集成运放组成电压串联负反馈放大,有高输入阻抗和低输出阻抗的特点。电路集成运放设计rc桥式正弦波振荡器产生频率与幅值可以达到一定要求的正弦波。再将产生的正弦波作为输入信号经过一个波形变换电路产生方波,在将方波经积分电路转换成三角波6。其总体的结构框图如图2-1所示。rc桥式振荡器是采用rc串并联选频网络的一种正弦波振荡器。虽然具有较好的正弦波形且频率调节范围宽,但是此方案rc桥式正弦波振荡器的设计中,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。所以这种信号发生器的振荡具有起振要求严,容易产生失真,稳幅效果差等缺点。图2-1 利用运放设计成信号发生器的总结构框图2.2.2 方案二采用集成芯片(icl8038)外接电路产生,icl8038是精密波形产生与压控振荡器,其基本特性为:可同时产生和输出正弦波、三角波、锯齿波、方波与脉冲波等波形;外接电阻、电容值可改变,输出信号的频率范围可为0.001hz300khz;其构成的主要原理框图如图2-2所示。此方案的特点是外接电路设计比较简单、易制作等,但是产生的方波时有一定的延时,导致输出的波形有一定的失真。图2-2 利用icl8038产生波形主要原理框图102.2.3 方案三利用at89c51单片机控制的信号发生器,可输出电压范围为05v,频率范围为11000hz的矩形波、三角波和正弦波三种波形信号,其频率可通过键盘增大或减小,还可以用键盘控制数码管显示波形频率。本系统输出的各种信号,均由软件程序产生各种数据,再经过d/a转换后输出,通过i/v转换电路得到三角波和正弦波等信号,其主要原理框图如图2-3所示。由于利用本方案制作的函数信号发生器具有容易制作,能方便调节的特点,所以本次设计我采用的是方案三,利用at89c51和dac0832制作一个低频信号发生器。图2-3 系统原理图2.3 基于at89c51信号发生器的方案设计及原理本系统是基于at89c51单片机控制的信号发生器,可输出电压范围为05v,频率为11000hz的方波、三角波和正弦波三种波形信号,其频率可通过键盘调节。本系统输出的信号,均由软件产生数据,经过d/a转换器转换后输出,再通过i/v转换电路得到正弦波信号,保证了波形的平滑、稳定和精度。可满足精度误差要求达到5%的多种低频信号源的使用场所。2.3.1 信号发生器的硬件电路设计方案本次设计主要是以at89c51和dac0832为核心,通过软硬件结合的方式实现波形输出和频率显示的目的。本系统的硬件部分有时钟电路,复位电路,显示电路和数模转换电路。时钟是单片机的心脏,单片机各功能部件的运行都是以时钟频率为基准,有条不紊地一拍一拍的工作。因此,时钟频率直接影响单片机的速度,时钟电路的质量也直接影响单片机系统的稳定性。时钟电路用于产生单片机工作时所必需的时钟信号。at89c51单片机本身就是一个复杂的同步时序电路,为保证同步工作方式的实现。单片机应在唯一的时钟信号控制下,严格地按时序执行指令进行工作,而时序所研究的是指令执行过程中各个信号的关系。时钟电路是为单片机提供精确定时的电路,在本设计中用于计时、中断源、键盘去抖动等等。单片机必须进行复位,是因为单片机内的cpu“取指”过程即为cpu从pc指针所指定的程序存储器rom地址单元中读取“机器码”的过程。单片机加电后,pc指针应指向rom中某个固定的单元,当然,程序开始的第一条指令也应放在rom的这一地址单元内,这样整个程序才能有序地执行。这个单元就是rom的0000h单元。只有上电复位正常后,pc值为0000h,即指向rom的0000h单元。此外,专用寄存器sfr中的sp为07h,即指向片内数据存储器(片内ram)07h单元,p0p3值为0ffh,其余的专用寄存器值大多为00h7。本文中的显示器用的是4位led显示器,四位led显示器有4根位选线和32根段选线。根据显示方式的不同,位选线和段选线的连接方法也各不相同。段选线控制显示字符的字型,而位选线为各个led显示块的公共端,它控制该led显示位的亮暗。led显示器有静态显示和动态显示两种显示方式。本次设计我采用的是动态显示模式,因为采用动态显示方式比较节省i/o口,硬件电路也较静态显示方式简单。d/a转换器(dac)将微机处理后的数字量转换成为模拟量(电压或电流)。d/a转换的基本原理是数字量由代码按数值组合起来表示的。欲将数字量转换成模拟量,必须先把每一位代码按其权的大小转换成相应的模拟分量,然后将数字量转换成相应的模拟分量,然后将各模拟分量相加,其总和就是与数字量相应的模拟量。按这个d/a转换原理构成的转换器,主要由电阻网络,电子开关和基准电压组成。电阻网络通常有两种形式:权电阻解码网络和r-2r梯形解码网络。dac集成电路大都采用r-2r梯形解码网络。本系统中用到的dac0832就是r-2r梯形解码网络。输入的二进制数字量通过逻辑电路控制电子开关。当输入的数字量不同时,通过电子开关使电阻网络中的不同电阻和基准电压接通,在运算放大器的输入端产生和二进制数各位的权成比例的电流,再经放大器将电流转换为与输入二进制数成正比的输出电压。基准电压是提供给转换电路的稳定的电压源,也称为参考电压。整个电路由若干个相同的电路环节组成。每个环节有两个电阻和一个开关。开关s是按二进制位进行控制的。该位为1时,开关将加权电阻与输出端接通产生电流;该位为0时,开关与端接通。2.3.2 信号发生器的软件电路设计方案本系统的资源分配。采用定时/计数器t0定时器:定时器0用作时钟定时,按方式1工作。p1.0p1.3为键盘输入端,p0口用作段控口线,p2口用作位控口线,p3口用做第二功能,采用了定时/计数器t0中断。本系统利用at89c51单片机的可编程定时/计数器,中断系统来实现是时钟计数,把定时器0设为工作方式1,定时时间可调。使用定时/计数器0,fosc=12mhz。则时间t频率f 波形发生器的频率在四位位数码管上进行显示,因此,在内部ram中设置显示缓冲区共4个单元。led3 led2 led1 led07bh 7ah 79h 78h显示缓冲区从左至右依次存放数值。波形发生器的频率设置4个按键通过程序控制来完成波形发生器的频率调制和控制输出的波形:k1键控制波形发生器发出的波形;k2增大频率;k3减少频率;k4显示频率。正弦波的产生采用查表法,单片机的i/o输出均为+5v的ttl电平,因此产生的正弦波幅值为+5v。将一个周期内的正弦波形等分为n份,那么第1点的角度为0,对应的正弦值为5sin0;第2点的角度为360/n,对应的正弦值为5sin(360/n),如此计算下去,将这些模拟量正弦值都转换为单极性方式下的数字量,得到一张按照点号顺序排列的数字量正弦值表格。2.4 本章小结本章中介绍了信号发生器的分类,对几种能产生低频信号的发生器系统做了简要的论述和分析,从中确定本次设计方案。最后对本文中设计的基于at89c51单片机控制的信号发生器的设计原理进行了介绍。第3章 信号发生器的硬件部分3.1 主要器件3.1.1 单片机芯片at89c51at89c51是美国atmel公司生产的低电压、高性能cmos 8位单片机,片内含4kb的可反复擦写的程序储存器和128kb的随机存取存储器(ram),器件采用atmel公司的高密度、非易失性存储技术生产,兼容标准mcs-51指令系统,片内配置通用8位中央处理器(cpu)和flash储存单元,功能强大的at89c51单片机可灵活应用于各个控制领域。at89c51提供以下标准功能:4kb的flash闪存存储器,128b内部ram,32个i/o接口线,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,at89c51可降至0hz的静态逻辑操作,并支持两种软件可选的节电模式。空闲方式停止cpu的工作,但允许ram、定时/计数器、串行通信口及中断系统继续工作。掉电方式保存ram中的内容,振荡器这时也停止工作并禁止其他所有部件工作直到下一个硬件复位。at89c51的引脚排列见图3-1。图3-1 at89c51引脚图(1)vcc:电源电压(2)gnd:接地(3)rst:复位输入。当振荡器复位器件时,要保持rst脚两个机器周期的高电平时间。(4)/vpp:当保持低电平时,则在此期间外部程序存储器(0000h-ffffh),不管是否有内部程序存储器。注意加密方式1时,将内部锁定为reset;当端保持高电平时,此间内部程序存储器。在flash编程期间,此引脚也用于施加12v编程电源(vpp)。(5)xtal1:反向振荡放大器的输入及内部时钟工作电路的输入。(6)xtal2:来自反向振荡器的输出。(7)p0口:p0口为一个8位漏级开路双向i/o口,也即地址/数据总线复用口。作为输出口用时,每脚可吸收8ttl门电流。当p0口的管脚第一次写1时,被定义为高阻输入。p0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在fiash编程时,p0 口作为原码输入口,当fiash进行校验时,p0输出原码,此时p0外部必须被拉高。(8)p1口:p1口是一个内部提供上拉电阻的8位双向i/o口,p1口缓冲器能吸收或输出4ttl门电流。p1口管脚写入1后,被内部上拉为高,可用作输入,p1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在flash编程和校验时,p1口作为第八位地址接收。(9)p2口:p2口为一个内部上拉电阻的8位双向i/o口,p2口缓冲器可吸收或输出4个ttl门电流,当p2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,p2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。p2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,p2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,p2口输出其特殊功能寄存器的内容。p2口在flash编程和校验时接收高八位地址信号和控制信号。(10)p3口:p3口管脚是8个带内部上拉电阻的双向i/o口,可吸收或输出4个ttl门电流。当p3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,p3口将输出电流(ill)这是由于上拉的缘故。p3口也可作为at89c51的一些特殊功能口,如下表3-1所示:表3-1 p3口的第二功能口线第二功能信号名称p3.0rxd串行数据接收p3.1txd串行数据发送p3.2外部中断0申请p3.3外部中断1申请p3.4t0定时器/计数器0计数输入p3.5t1定时器/计数器1计数输入p3.6外部ram写选通p3.7外部ram读选通(11)ale/:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在flash编程期间,此引脚用于输入编程脉冲。平时,ale端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ale脉冲。如想禁止ale的输出可在sfr8eh地址上置0。此时,ale只有在执行movx,movc指令是ale才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ale禁止,置位无效。(12):外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次有效。但在访问外部数据存储器时,这两次有效的信号将不出现8。在本设计中所用到的引脚有vcc,gnd,rst,xtal1,xtal2,p0口,p1口,p2口,p3口。本系统采用12mhz晶振;p0口为单片机与数码管显示器和数模转换器dac0832的通信数据端口,其中p0口有8个1k的上拉电阻;at89c51单片机的复位靠外部电路来实现,信号由reset(rst)引脚输入,高电平有效,在振荡器工作时,只要保持reset(rst)引脚高电平两个机器周期,单片机即可以复位,系统既有上电复位电路又可以手动复位k5;p2.0p2.3为数码显示管的位选控制信号端口:p3.6为数模转换器dac0832的片选控制端口;p1.0p1.3为按键模块的接口,分别实现选择要输出的波形,增大或减小频率,使数码管显示当前波形的频率。3.1.2 数模转换器dac0832dac芯片的性能主要用分辨率(位数)、转换时间和转换精度等参数反映;dac芯片有多种类型。按dac的性能分,有通用、高速和高精度等转换器;按内部结构分,有不包含数据寄存器的,也有含数据寄存器的;又有电流输出型和电压输出型。dac0832是一种典型的8位、电流输出型、通用dac芯片,其中d/a转换器采用梯形电阻网络。dac0832内部具有输入寄存器和dac寄存器两级数字量缓冲寄存器,可以方便地与微处理机接口。其中di0di7是8位数字量输入引脚,ile、和控制输入寄存器的锁存信号le1,和控制dac寄存器的锁存信号le2。数字量进入dac寄存器的同时,d/a转换器就开始数字量到模拟量的转换工作。数字量不变,模拟输出量也不变9。dac0832的内部结构见图3-2。图3-2 dac0832的内部结构当ile为高、和为低时,ile为高,输入寄存器处于直通状态,数字输出随数字输入变化;否则,将输入数据锁存在dac寄存器中。于是,dac0832形成3种工作方式:(1) 直通方式:将wr1,wr2,xfer,cs接地,ile接高电平 ,就能使得两个寄存器的输出跟随输入的数字量变化,dac0832的输出也同时跟随变化。直通方式常用于连续反馈控制的环路中。(2) 单缓冲方式:单缓冲方式就是将其中一个寄存器工作在直通状态,另一个处于受控的锁存器状态。在实际应用中,如果只有一路模拟量输出,或虽有几路模拟量但并不要求同步输出,就可采用单缓冲方式。(3) 双缓冲方式:所谓双缓冲方式就是将两个寄存器都处于受控的锁存方式。为了实现两个寄存器的可控,应当给它们各分配一个端口地址,以便能按照端口地址进行操作。d/a转换采用两步写操作来完成。可在dac0832转换输出前一个数据的同时,将下一个数据传送到输入寄存器,以提高d/a转换速度。还可用于多路数模转换系统,以实现多路模拟信号同步输出的目的。dac0832的引脚图见图3-3。图3-3 dac0832引脚图本系统中只有一路模拟量输出,所以采用的是单缓冲方式dac0832的模拟电流输出有、,还有电源和地信号引脚。模拟电流输出1,它是逻辑电平为1的各位输出电流之和。当输入数字全为“1”时,其值最大,为(255/256)(/);当输入数字全为“0”时,其值最小为0。模拟电流输出2,它是逻辑电平为0的各位输出电流值和。反馈电阻引出端。反馈电阻被制作在芯片内,用作外接运算放大器的反馈电阻,为d/a转换器提供电压输出,该电阻与内部r-2r电阻网络相匹配。参考电压输入端。范围为+10v10v。电源电压,为+5v+15v。agnd模拟地,芯片模拟电路接地点。dgnd数字地,芯片数字电路接地点。3.1.3 lm324的结构与功能lm324为四运放集成电路。内部有四个运算放大器,有相位补偿电路。电路功耗很小,它的输入电压可低到地电位,而输出电压范围为0vcc。它的内部包含四组形式完全相同的运算放大器,除电源共用外,四组运放相互单独。每一组运算放大器可用如图所示的符号来表示,它有5个引出脚,其中“”、“”为两个信号输入端,“v+”、“v”为正、负电源端,“vo”为输出端。两个信号输入端中,vi()为反相输入端,表示运放输出端vo的信号与该输入端的相位相反;vi+(+)为同相输入端,表示运放输出端vo的信号与该输入端的相位相同。lm324四运放电路具有电源电压范围宽,静态功耗小,可单电源使用,价格低廉等特点。图3-4为lm324的引脚图图3-4 lm324引脚图3.2 单元电路设计本系统设计的电路由时钟电路,复位电路,电源电路,键盘接口电路,数码管显示接口电路,d/a转换电路,i/v转换电路。3.2.1时钟电路单片机时钟信号的产生,是因单片机内部有一个高增益反相放大器,其输入端为芯片引脚xtal1,其输出端为引脚xtal2,而在芯片的外部,xtal1和xtal2之间跨接晶体振荡器和微调电容,从而构成一个稳定的自激振荡器。只要在单片机的xtal1和xtal2引脚外接晶体振荡器就构成了自激振荡器并在单片机内部产生时钟脉冲信号。电容器c1和c2的作用是稳定频率和快速起振,电容值在530pf,典型值为30pf。外部信号要求为高电平的持续时间大于20ns,且为频率低于12mhz的方波。接入晶振时,还要接入两个2030pf的瓷片电容c1,c2,晶振频率因单片机工作速度而异。石英晶振起振后,xtal2(18)脚有一个3v左右的正弦波。c1,c2短路、晶振不良,at89c51(18),(19)脚内部反相器会损坏。vcc电源未加上等故障可能造成晶振不起振,使单片机无法工作。当采用外部振荡器时信号接入(19)脚,(18)脚悬浮。振荡器的12分频为一个机器周期,当外接12mhz晶振时,一个机器周期为1s。晶振频率高,则系统的时钟频率也高,单片机的运行速度也快。本次设计采用的频率是12mhz。时钟电路主要是由两个容量值小的电容和一个频率很高的晶振构成。主要的参数在图3-4中可以看出。时钟电路主要是对单片机提供工作频率。图3-5 时钟电路3.2.2复位电路单片机复位电路有两种:上电复位和按键复位,无论是采用哪种都能达到设计的目的。本次我采用的是按键复位,电路如图3-6。单片机复位是使cpu和系统中的其它的功能部件都处在初始状态,并从这个状态开始工作。复位的方法:当振荡器正常工作时,rst(9)脚上出现的两个机器周期的高电平将使单片机有效复位。考虑到振荡器有一定的起振时间,该引脚必须保持10ms以上高电平,才能有效复位10。注意:复位信号为2个以上机器周期的高电平,单片机复位后正常工作时应该为低电平,如果未加复位电平或复位后复位电平仍未撤除,则单片机不能正常工作。此时,可检查rst电压及相关器件。图3-6 按键复位电路3.2.3 电源电路单片机要工作,当然须要电源,电源的稳定性直接关系到整个系统的稳定性,因此,此部分的设计也是非常重要的。单片机的工作电压为+5v的直流电压,在此部分的设计上本次设计的电源部分采用220v的交流电源经降压,整流,稳压电路以后作为整个系统的电源。如图3-7所示。电源模块设计的质量直接关系到单片机系统的稳定性。此电路将220v电压经过电源变压器、二极管全波整流、电容滤波、lm7805稳压输出稳定的5v直流电压为整个电路提供电源。220v交流电经过电源变压器换成交流低压,在经过四个二极管组成的桥式整流电路整流,电容c1和c2分别用于输入端和输出端的滤波电容,电容c3和c4用于防止自激,lm7805将经过整流滤波的电压稳定在5v输出。图3-7 电源电路3.2.4 数码管显示接口电路数码管显示方式有静态显示和动态显示两种方式。所谓静态显示,是指显示器显示某一字符时,相应段的发光二极管恒定地导通或截止。这种显示方式的各位数码管相互独立,公共端恒定接地(共阴极)或接正电源(共阳极)。这种显示方法每一位都需要有一个8位输出段控控制。静态显示时,并行输出显示位数越多需要i/o口也越多。所谓动态显示,就是一位一位地轮流点亮各位数码管,这种逐位点亮显示器的方式称为位扫描。动态显示方式比较节省i/o口,硬件电路也较静态显示方式简单。本次设计采用的是动态显示,由于只要显示4位数字,因而采用七段数码管做显示器。led显示器有共阴极与共阳极两种结构,本次设计采用共阳极四位一体led显示块,驱动共阳极led的驱动电流一般较大,如果该电流与led器件的正常工作电流近似,那么可以直接驱动led,如果驱动电流比led正常电路大许多,那么两者之间要加限流电阻,其结构如图3-8所示。四位一体数码管四个数码管的段控码内部是相串连的,但是它们的位控码是独立的,当某个数码管的位控端为高电平时该数码管就点亮。动态方式显示时,各数码管分时轮流选通,要使其稳定显示

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论