丁玉美数字信号处理第8章_第1页
丁玉美数字信号处理第8章_第2页
丁玉美数字信号处理第8章_第3页
丁玉美数字信号处理第8章_第4页
丁玉美数字信号处理第8章_第5页
已阅读5页,还剩58页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

1、第八章第八章 多采样率数字信号处理多采样率数字信号处理 1本章内容要点本章内容要点8.1 8.1 引言引言8.2 8.2 整数因子抽取整数因子抽取8.3 8.3 整数因子内插整数因子内插8.4 8.4 按有理数因子按有理数因子I/DI/D的采样率转换的采样率转换8 85 5 整数倍抽取和内插在数字语音系统中的应用整数倍抽取和内插在数字语音系统中的应用 8.6 8.6 采样率转换滤波器的高效实现方法采样率转换滤波器的高效实现方法8.6.1 8.6.1 直接型直接型FIRFIR滤波器结构滤波器结构8.6.2 8.6.2 多相滤波器结构多相滤波器结构8.6.3 8.6.3 采样率转换系统的多级实现采

2、样率转换系统的多级实现8.7 8.7 采样率转换器的采样率转换器的MATLABMATLAB实现实现28.1 引言引言u前面所讨论的信号处理的各种方法都是把采样率前面所讨论的信号处理的各种方法都是把采样率fsfs视为固视为固定值,即在一个数字系统中只有一个采样频率。定值,即在一个数字系统中只有一个采样频率。u但在实际系统中,经常会遇到采样率的转换问题,即要求但在实际系统中,经常会遇到采样率的转换问题,即要求一个数字系统能工作在一个数字系统能工作在“多采样率多采样率”状态。例如:状态。例如:u(1 1)在数字电视系统中,图像采集系统一般按)在数字电视系统中,图像采集系统一般按4 4:4 4:4 4

3、标标准或准或4 4:2 2:2 2标准采集数字电视信号,再根据不同的电视质标准采集数字电视信号,再根据不同的电视质量要求,将其转换成其它标准的数字信号(如量要求,将其转换成其它标准的数字信号(如4 4:2 2:2 2,4 4:1 1:1 1,2 2:1 1:1 1等标准)进行处理、传输。这就要求数字电等标准)进行处理、传输。这就要求数字电视演播室系统在多采样率状态。(视演播室系统在多采样率状态。(4 4:2 2:2 2标准的含义是标准的含义是“亮度信号亮度信号Y Y的采样率的采样率: : 红色差信号红色差信号R-YR-Y的采样率的采样率: :蓝色差信蓝色差信号号B-YB-Y的采样率的采样率=4

4、=4:2 2:2”2”,其他标准以此类推),其他标准以此类推)3(2 2)在数字电话系统中,传输的信号既有语音信号,又有传真)在数字电话系统中,传输的信号既有语音信号,又有传真信号,甚至有视频信号,这些信号的频率成分相差甚远。所信号,甚至有视频信号,这些信号的频率成分相差甚远。所以,该系统应具有多采样率功能,并根据所传输的信号自动以,该系统应具有多采样率功能,并根据所传输的信号自动完成采样率转换。完成采样率转换。(3 3)对一个非平稳随机信号(如语音信号)作谱分析或编码时,)对一个非平稳随机信号(如语音信号)作谱分析或编码时,对不同的信号段,可根据其频率成分的不同而采用不同的采对不同的信号段,

5、可根据其频率成分的不同而采用不同的采样率,以达到既满足采样定理,又最大限度的减少数据量的样率,以达到既满足采样定理,又最大限度的减少数据量的目的。目的。(4 4)如果以高采样率采集的数据存在冗余,这时就希望在该数)如果以高采样率采集的数据存在冗余,这时就希望在该数字信号的基础上降低采样速率,剔除冗余,减少数据量,以字信号的基础上降低采样速率,剔除冗余,减少数据量,以便存储、处理与传输。便存储、处理与传输。48.1 引言引言 以上所列举的几个方面都是希望能对采样率进行转以上所列举的几个方面都是希望能对采样率进行转换,或要求数字系统工作在多采样率状态。近年来,建换,或要求数字系统工作在多采样率状态

6、。近年来,建立在采样率转换基础上的立在采样率转换基础上的“多采样率数字信号处理多采样率数字信号处理”已已成为数字信号处理学科的主要内容之一。成为数字信号处理学科的主要内容之一。 一般概念一般概念: 在满足采样定理的前提下在满足采样定理的前提下, ,将以采样率将以采样率F1F1采集的数字信采集的数字信号进行号进行D/AD/A转换变成模拟信号;按采样率转换变成模拟信号;按采样率F2F2进行进行A/DA/D变换,变换,从而实现从从而实现从F1F1到到F2F2的采样率转换。的采样率转换。 问题与缺点问题与缺点:较麻烦,且易使信号受到损伤:较麻烦,且易使信号受到损伤 实际实现方法(本节学习的内容):实际

7、实现方法(本节学习的内容):58.1 引言引言 在数字域直接改变采样率。根据采样率转换理论,对采样在数字域直接改变采样率。根据采样率转换理论,对采样后的数字信号后的数字信号x(n)x(n)直接进行采样率转换,以得到新采样率下直接进行采样率转换,以得到新采样率下的采样数据。的采样数据。 采样率转换的分类:采样率转换的分类:“抽取(抽取(DecimationDecimation)” ” :降低采样率以去掉多余数据的过程;:降低采样率以去掉多余数据的过程;“插值(插值(InterpolationInterpolation)” ” :提高采样率以增加数据的过程。:提高采样率以增加数据的过程。 本章主要

8、内容:本章主要内容: (1 1)讨论抽取和插值的一般概念;)讨论抽取和插值的一般概念; (2 2)整数倍抽取和内插在数字语音系统中的应用)整数倍抽取和内插在数字语音系统中的应用 (3 3)讨论几种基本的高效实现方法。)讨论几种基本的高效实现方法。本章的内容是语音及图像数据压缩新技术本章的内容是语音及图像数据压缩新技术子带编码的重要理子带编码的重要理论基础。论基础。 68.1 引言引言7 设设x(nx(n1 1T T1 1) )是连续信号是连续信号x xa a(t)(t)的采样序列,采样率的采样序列,采样率F F1 1 = = 1/T1/T1 1(Hz)(Hz),T T1 1称为采样间隔,单位为

9、秒,即称为采样间隔,单位为秒,即 8.2 8.2 整数因子抽取整数因子抽取1 11 1a a1 11 1x x( (n n T T ) ) = = x x ( (n n T T ) )8 8. .2 2. .1 1希望将采样率降低到原来的希望将采样率降低到原来的1/D1/D,D D为大于为大于1 1的整数的整数,称为抽,称为抽取因子。取因子。 最简单的方法是对最简单的方法是对x(nx(n1 1T T1 1) )每每D D点抽取点抽取1 1点,抽取的样点依点,抽取的样点依次组成新序列次组成新序列y(ny(n2 2T T2 2) )。y(ny(n2 2T T2 2) )的采样间隔为的采样间隔为T

10、T2 2,采样率为,采样率为F F2 2 = 1/T= 1/T2 2(Hz)(Hz),T T2 2与与T T1 1的关系为的关系为2 21 1T T = = D DT T8 8. .2 2. .2 28.2 8.2 整数因子抽取整数因子抽取 为了后面叙述方便,将上述的抽取系统用图为了后面叙述方便,将上述的抽取系统用图8.2.1(a)8.2.1(a)表表示,示,x(n)x(n)和和y(n)y(n)分别如图分别如图8.2.18.2.1(b b)和()和(c c)所示。)所示。n1n1和和n2n2分分别表示别表示x(n)x(n)和和y(n)y(n)序列的序号。序列的序号。 当当n1=n2Dn1=n2

11、D时,时, 2 22 22 21 1y y( (n n T T ) ) = = x x n n D DT T8 8. .2 2. .3 32 22 21 1 1 1y y( (n n T T ) )= = x x n n T T8 D9X(n1T1)y(n2T2)(a)05101520250123456789n data1051015202501234567(b)(c)T2T1图图8.2.18.2.1数字信号的时域抽取示意图数字信号的时域抽取示意图8.2 8.2 整数因子抽取整数因子抽取8.2 8.2 整数因子抽取整数因子抽取上面直接抽取方法存在的问题:上面直接抽取方法存在的问题: 直接每隔直

12、接每隔D1D1个抽取一个样值,相当于个抽取一个样值,相当于降低了采样频率,可能会引起频谱混叠现象。降低了采样频率,可能会引起频谱混叠现象。下面讨论抽取过程中可能出现的频谱混叠及改下面讨论抽取过程中可能出现的频谱混叠及改进措施。进措施。 108.2 8.2 整数因子抽取整数因子抽取如果如果x(n1T1)x(n1T1)是连续信号是连续信号xa(t)xa(t)的采样信号,且的采样信号,且 11 111 1 -j -j t ta1aaa1aa- - j j -j -j n n1 11 11 11 1n=-n=- FTxt = X (jFTxt = X (j ) =x (t)edt) =x (t)edt

13、FTx(n T )= X e=x(n T )eFTx(n T )= X e=x(n T )e112(rad/s)f f f为模拟频率变量,为模拟频率变量,11为数字频率。为数字频率。 1 11 11 1f f = = T T = = 2 2 F F1 1 j j 1 1a as sa a1 1k k= =- - 1 11 1 X X( (e e) ) = =x xj j- - j jk k T TT Ts sa a1 11 1 = = 2 2 / / T T ( (r ra ad d / / s s) ),亦称为采样频率。,亦称为采样频率。由(由(2.4.32.4.3)式有)式有8.2 整数因

14、子抽取 为了对抽样前后的频为了对抽样前后的频谱进行比较,作图时均谱进行比较,作图时均以模拟角频率以模拟角频率为自变为自变量(横坐标),为此按量(横坐标),为此按(8.2.68.2.6)式将写成)式将写成的的函数为函数为a1 122a1 122x (t),x(n T ) x (t),x(n T ) 和y(n T )及其和y(n T )及其频频谱谱如如图图所所示示121 11 11 11 1j j T Tj j = = T T a as sa a1 1k k= =- - 1 1X X( (e e) )= = X X( (e e) )| |1 1= =x x ( (j j - - j jk k )

15、)T T13图图8.2.3 8.2.3 抽取引起的频谱混叠现象抽取引起的频谱混叠现象s sa a1 1s sa a2 2 D D8.2 8.2 整数因子抽取整数因子抽取221 1()()y n Tx nT无法从中恢复出原信号21/2/2sasaD 14 由图由图8.2.38.2.3可见,直接抽取确实产生频谱混叠,所以随意对可见,直接抽取确实产生频谱混叠,所以随意对进行抽取是不行的。进行抽取是不行的。 只有在抽取后仍能满足采样定理时才能恢复出原来的信号,只有在抽取后仍能满足采样定理时才能恢复出原来的信号,否则就必须另外采取措施。否则就必须另外采取措施。 通常采取的措施是抗混叠滤波。所谓抗混叠滤波

16、,就是在抽通常采取的措施是抗混叠滤波。所谓抗混叠滤波,就是在抽取之前先对信号进行低通滤波,把信号的频带限制在取之前先对信号进行低通滤波,把信号的频带限制在 s2s2/2/2 以下。对应的数字频率为以下。对应的数字频率为 这种抽取系统框图如图这种抽取系统框图如图8.2.48.2.4所示。所以,在理想情况下,所示。所以,在理想情况下,抗混叠低通滤波器的频率响应为抗混叠低通滤波器的频率响应为 j j 1 1, , 2 2 2 2j j H H( (e e) )2 22 2h h( (n n T T ) )8.3 8.3 信号的整数倍内插信号的整数倍内插 (8.3.4) 因为因为 ,所以,所以, ,2

17、5s sa a1 11 12 21 1 T T T T = = =2 2T T II(8.3.4)2 22 2j j 2 2C, 0C, 0 H(e)=H(e)= 0, 0, II22 2j j j j 2 22 2C CX X( (e e) ), , 0 0 Y Y( (e e) )= =0 0, , III0, 2 , 3 ,mIII( )()y mx m I2 22 2 / /j j j j 2 22 2- - - - / /1 1C Cy y( (0 0) )= =Y Y e ed d = =X X e ed d 2 2 2 2 III2 21 1 = = I1 1 j j 1 1-

18、- C C 1 1C Cy y( (0 0) )= =X X( (e e) )d d = =x x( (0 0) )= = x x( (0 0) )2 2 II式中,式中,C C为定标系数。因此输出频谱为为定标系数。因此输出频谱为定标系数定标系数C C的作用是,在的作用是,在 时,确保输出序列时,确保输出序列将理想镜像滤波器的阻带截止频率换算成数字频率为将理想镜像滤波器的阻带截止频率换算成数字频率为所以,理想情况下,镜像滤波器的频率响应特性为所以,理想情况下,镜像滤波器的频率响应特性为由此得出,定标系数由此得出,定标系数C C= =I I。为了计算简单,取为了计算简单,取m m=0=0来求解来

19、求解C C的值。的值。8.3 信号的整数倍内插信号的整数倍内插3 3内插器的输入、输出关系内插器的输入、输出关系(1 1)时域输入、输出关系)时域输入、输出关系 由图由图8.3.28.3.2有有261 1 2 22 22 22 22 22 2n n = =- - y y( (n n T T ) ) = =v v( (mmT T ) )h h( (n n T T - -mmT T ) ) ( (8 8. .3 3. .6 6) ) 1 11 1 1 11 11 12 22 2mmx xT T= = x x( (n n T T ) ),mm= =n n 及及T T = = T Tv v( (mmT

20、 T ) )= =0 0,其其它它III1 1 2 22 21 1 1 12 22 21 1 1 1n n = =- - y y( (n n T T ) ) = =x x( (n n T T ) )h h n n T T - -n n T T ( (8 8. .3 3. .7 7) )2 22 22 2j j j j j j Y Y( (e e) ) = = V V( (e e) )H H( (e e) ) ( (8 8. .3 3. .8 8) )2 21 1j j j j V V( (e e) )= = X X( (e e) )由由(8.3.3)(8.3.3)式知道式知道,所以,所以,因为

21、因为所以,内插器时域输入、输出关系为所以,内插器时域输入、输出关系为(2 2)频域输入、输出关系)频域输入、输出关系2 21 12 22 22 2j j j j j j j j I Ij j Y Y( (e e) ) = = X X( (e e) )H H( (e e) ) = = X X( (e e) )H H( (e e) )8.3 8.3 信号的整数倍内插信号的整数倍内插复频域输入与输出的关系复频域输入与输出的关系 :由图由图8.3.28.3.2可知:可知:272 22 22 2Y Y( (z z ) )= = V V( (z z ) )H H( (z z ) ) ( (8 8. .3

22、3. .1 10 0) )x2 22 22 22 21 11 1 -n-n222222n =-n =- -n-n222212211221n =-n =- - n- n11221122n =-n =- V(z )=V(n T )zV(z )=V(n T )znnnn=Tz=Tz ,n,n 为为 的整的整数数倍即倍即=n=n时时=x(n T )z= X(z ) =x(n T )z= X(z ) (8.3.11) (8.3.11)IIIII2 22 22 2Y Y( (z z ) )= = X X( (z z ) )H H( (z z ) ) ( (8 8. .3 3. .1 12 2) )IY Y

23、( (z z) )= = X X( (z z ) )H H( (z z) ) ( (8 8. .3 3. .1 13 3) )I (8.3.128.3.12)式中所有变量都为,所以可去掉下标得到)式中所有变量都为,所以可去掉下标得到所以所以8.4 8.4 按有理数因子按有理数因子I I/ /D D的采样率转换的采样率转换1 1、按有理数因子、按有理数因子I I/ /D D采样率转换的一般原理采样率转换的一般原理由按整数因子由按整数因子I I内插和整数因子内插和整数因子D D抽取的原理,显然,可以用抽取的原理,显然,可以用图图8.4.18.4.1所示方案实现有理数因子所示方案实现有理数因子I I

24、/ /D D采样率转换。采样率转换。28图图8.4.28.4.2按有理数因子按有理数因子I I/ /D D采样率转换的实用原理方框图采样率转换的实用原理方框图 图图8.4.1 8.4.1 按有理数因子按有理数因子I I/ /D D的采样率转换方法的采样率转换方法 应当注意,先内插后抽取才能最大限度地保留输入序列应当注意,先内插后抽取才能最大限度地保留输入序列的频谱成分。的频谱成分。用用 分别表示输入序列和输出序列的采样频率,分别表示输入序列和输出序列的采样频率,则则 另外,图中镜像滤波器和抗混叠滤波器级联,而且工作另外,图中镜像滤波器和抗混叠滤波器级联,而且工作在相同的采样频率,因此完全可以将

25、它们合成为一个等效滤在相同的采样频率,因此完全可以将它们合成为一个等效滤波器,所以,按有理数因子波器,所以,按有理数因子I I/ /D D采样率转换的实用原理方框采样率转换的实用原理方框图如图如图图8.4.28.4.2所示。所示。 理想情况下,理想情况下, 和和 均为理想低通滤波器,所以,均为理想低通滤波器,所以, 的的等效滤波器仍是理想低通滤波器,其等效带宽应当是等效滤波器仍是理想低通滤波器,其等效带宽应当是 和和 中最中最小的带宽。因此,小的带宽。因此, 的频率响应为的频率响应为 (8.4.18.4.1)y yx xF F = =( (I I D D) )F Fx xx xy yy yF

26、F = =1 1/ / T T 和和 F F = =1 1/ / T T( )Ih l()Dh l( )h l( )Ih l()Dh l( )h ly yy yj j y y/ /D D, , 0 0 D时,时,Fy Fx,将图,将图8.6.8中的直接型中的直接型FIR结构与前面的结构与前面的用图用图8.6.6所示的整数因子所示的整数因子I内插器的高效内插器的高效FIR滤波器结构代替即可。滤波器结构代替即可。 I(2)当当I D时,时,Fy Fx,将图,将图8.6.8中的直接型中的直接型FIR结构与后面的结构与后面的用图用图8.6.1(b)所示的整数因子)所示的整数因子D抽取器的高效抽取器的高

27、效FIR滤波器结构代替即可。滤波器结构代替即可。D 8.6.2 多相滤波器结构多相滤波器结构 1、内插系统的多相结构:、内插系统的多相结构: 可以证明,图可以证明,图8.6.6所示的按整数因子所示的按整数因子I内插系统的高效内插系统的高效FIR滤波器结滤波器结构可以用一组较短的构可以用一组较短的多相滤波器组多相滤波器组实现。实现。 如果如果FIR滤波器总长度为滤波器总长度为M=NI,则多相滤波器组由则多相滤波器组由I个长度为个长度为N=M/I的短滤波器构成,且的短滤波器构成,且I个短滤波器轮流分时工作。个短滤波器轮流分时工作。 证明:证明: 观察图观察图8.6.3给出的整数因子给出的整数因子I

28、内插系内插系统的直接型统的直接型FIR滤波器结构。为了下面滤波器结构。为了下面描述简单,定义一下符号:描述简单,定义一下符号: 45用用x(n)表示表示x(n1T1)用用v(m)表示表示v(n2T2)用用y(m)表示表示y(n2T2)8.6.2 多相滤波器结构多相滤波器结构10( )( ) ()Mny mh n v mn46(),0, 2 , 3 ,( )0,x m ImIIIv m其他所以,所以,m=jI时刻:时刻: 输出序列为输出序列为因为因为1100( )( ) ()() ()(0) ( )( ) (1)(2 ) (2)() (1)MNnny mh n v mnh nI x jnhx j

29、h I x jhI x jh NI x jNm=jI+1时刻,时刻, (9.5.3)式中式中()v jIn右移右移1位,位, N个个x(n)的非零值与的非零值与h(n)的对应的对应关系也右移关系也右移1位,所以,位,所以,1100( )( ) ()(1) ()(1) ( )(1) (1)(12 ) (2)(1) (1)MNiny mh i v mihnI x jnhx jhI x jhI x jhNI x jN(9.5.3) 8.6.2 多相滤波器结构多相滤波器结构 ,依此类推,依此类推,当当m=jI+I=(j+1)I时刻,时刻, N个个x(n)的值与的值与h(n)的对应关的对应关系又重复(系

30、又重复(9.5.3)式,只是)式,只是x(n)又移进又移进1位,所以,位,所以,471100( )( ) ()() (1)(0) (1)( ) ( )(2 ) (1)() (1(1)MNiny mh i v mih nI x jnhx jh I x jhI x jh NI x jN 综上所述,当综上所述,当,0,1,2,1;0,1,2,mjIkkI j时,有时,有1100( )( ) ()() ()MNiny mh i v mih knI x jn(9.5.6) ()h knI( )kpn把(把(9.5.6)式中的)式中的看作长度看作长度N=M/I的子滤波器的单位脉冲响应,的子滤波器的单位脉冲

31、响应,表示:表示:并用并用( )()0,1,2,10,1,2,1kpnh knIkInN;10( )( ) ()( )( )Nkkny mp n x jnp nx n这样,从这样,从m=0开始,整数因子开始,整数因子I内插系统的输出序列内插系统的输出序列( )y m计算如下:计算如下:(9.5.8) 8.6.2 多相滤波器结构多相滤波器结构式中,式中,显然,当显然,当 从从0开始增大时,开始增大时,k从从0开始以开始以I为周期循环取值;为周期循环取值;j表示循环周期数。所以,实现(表示循环周期数。所以,实现(9.5.8)式的多相滤波器结构如图)式的多相滤波器结构如图9.5.648;0,1,2,

32、1;0,1,2,mjIkkIjmjIk所示所示 。图图9.5.6特点:特点:(1)I个子滤波器均运行于个子滤波器均运行于低采样率低采样率Fx下下(2)系数少,计算量小。)系数少,计算量小。 所以多相滤波器结构是所以多相滤波器结构是一种高效结构。一种高效结构。8.6.2 多相滤波器结构多相滤波器结构工作过程:工作过程: 输入端的输入端的x(n)每移入一个样值,每移入一个样值,I个子滤波器分别计算出个子滤波器分别计算出y(m)的的I个样值,选择电子开关以高采样率个样值,选择电子开关以高采样率Fy=IFx,依次逆时针循环选取,依次逆时针循环选取I个子滤波器的输出,形成输出序列个子滤波器的输出,形成输

33、出序列 。实现了整数因子。实现了整数因子I内插功内插功能。能。 49( )y m“多相滤波器多相滤波器”的解释:的解释:( )kpn/I( )kpn对低通滤波器对低通滤波器h(n)按整数因子按整数因子I抽取得到子滤波器抽取得到子滤波器h(n)是截止频率为是截止频率为的理想低通滤波器,所以的理想低通滤波器,所以的截止频率的截止频率必然是必然是 ,即,即I个子滤波器都是全通滤波器,幅度特性相同,它们的个子滤波器都是全通滤波器,幅度特性相同,它们的唯一区别是相位特性不同,故称为唯一区别是相位特性不同,故称为“多相滤波器多相滤波器”结构。结构。 形成多相特性的机理:形成多相特性的机理:由由h(n)的的

34、I个个不同的起始点不同的起始点抽取得到抽取得到I个子滤波器。个子滤波器。 8.6.2 多相滤波器结构多相滤波器结构2、抽取系统的多相结构:、抽取系统的多相结构:50 思想:思想:整数因子整数因子I内插器的实现结构与整数因子内插器的实现结构与整数因子D=I抽取器的实现抽取器的实现结构互为转置关系,将图结构互为转置关系,将图9.5.6 给出的整数因子给出的整数因子I内插系统的多相滤波器内插系统的多相滤波器结构进行转置,则得到图结构进行转置,则得到图9.5.7所示的整数因子所示的整数因子D抽取系统的多相滤波器抽取系统的多相滤波器结构。结构。图图9.5.7多相滤波器的单位脉冲响应:多相滤波器的单位脉冲

35、响应: ( )()0,1,2,1;0,1,2,1kpnh knDkDnN式中,式中,N为为( )kpn抗混叠抗混叠FIR滤波器的总长度滤波器的总长度 M=DN, N=M/D 的长度。一般选择的长度。一般选择8.6.2 多相滤波器结构多相滤波器结构抽取系统多相结构正确性的验证:抽取系统多相结构正确性的验证:51以以N=D=2,M=DN=4为例,验证图为例,验证图9.5.7所示的抽取系统多相结构的正所示的抽取系统多相结构的正确性。首先根据图确性。首先根据图9.5.2(a)计算出抽取器的正确输出)计算出抽取器的正确输出y(m): 30( )( )( )( ) ()( )()(2 )v nh nx n

36、hx ny mv Dmvm假设假设x(n)为因果信号,则为因果信号,则 (0)(0)(0) (0)(1)(2)(0) (2)(1) (1)(2) (0)(2)(4)(0) (4)(1) (3)(2) (2)(3) (1)yvhxyvhxhxhxyvhxhxhxhx8.6.2 多相滤波器结构多相滤波器结构根据图根据图9.5.7计算多相实现结构的输出计算多相实现结构的输出y(m): 开始开始 k=0,n=0,只有,只有x(0)进入进入p0(n),p1(n)中无信号,中无信号, 所以总输出所以总输出y(0)=p0(0)x(0)=h(0)x(0)。 逆时针旋转开始下一周期逆时针旋转开始下一周期: k=

37、D-1=1时时,电子开关转到,电子开关转到p1(n), x(1)进入进入p1(n),p1(n)的输出为的输出为p1(0)x(1)=h(1)x(1); k=0时时,电子开关又转到,电子开关又转到p0(n),此时,此时,x(2)进入进入p0(n)第一节,上一第一节,上一周期中进入周期中进入p0(n)的的x (0)移位到移位到p0(n)的第二节,所以的第二节,所以p0(n)的输出为的输出为 52p0(0) x(2)+ p0(1) x(0)= h(0) x(2)+ h(2) x(0)总的输出总的输出y(1)为为p0(n)与与p1(n)输出之和,即输出之和,即(1)(0) (2)(2) (0)(1) (

38、1)yhxhxhx8.6.2 多相滤波器结构多相滤波器结构同样道理,可求出下一旋转周期得到的输出同样道理,可求出下一旋转周期得到的输出所求所求y(0),y(1)和和y(2)与式(与式(9.5.10)相同,所以,图)相同,所以,图9.5.7所给结构是正所给结构是正确的。确的。531100(2)(0) (3)(1) (1)(0) (4)(1) (2)= (1) (3)(3) (1)(0) (4)(2) (2)ypxpxpxpxhxhxhxhx例例9.5.1 设计一个按因子设计一个按因子I=5的内插器,要求镜像滤波器通带最大衰减的内插器,要求镜像滤波器通带最大衰减为为0.1 dB,阻带最小衰减为,阻

39、带最小衰减为30 dB,过渡带宽度不大于,过渡带宽度不大于/20。设计。设计FIR滤波器系数滤波器系数h(n),并求出多相滤波器实现结构中的,并求出多相滤波器实现结构中的5个多相滤波器系数。个多相滤波器系数。 解解: 由式(由式(9.3.5)知道)知道FIR滤波器滤波器h(n)的阻带截止频率为的阻带截止频率为/5,根据题意,根据题意可知滤波器其他指标参数可知滤波器其他指标参数: 通带截止频率为通带截止频率为/5-/20=3 /20,通带最大,通带最大衰减为衰减为0.1 dB,阻带最小衰减为,阻带最小衰减为30 dB。调用。调用remezord函数求得函数求得h(n)长度长度M=47,为了满足,

40、为了满足5的整数倍,取的整数倍,取M=50。调用。调用remez函数求得函数求得h(n)如下如下8.6.2 多相滤波器结构多相滤波器结构h( 0 )= 6.684246e - 002 =h( 49 ) h( 13 )= -1.800562e - 003 =h( 36 )h( 1 )= -3.073256e - 002 =h( 48 ) h( 14 )= -7.220485e - 002 =h( 35 )h( 2 )= - 4.303671e - 002 =h( 47 ) h( 15 )= -1.370181e - 001 =h( 34 )h( 3 )= -5.803096e - 002 =h(

41、 46 ) h( 16 )= -1.740193e - 001 =h( 33 )h( 4 )= - 6.759203e - 002 =h( 45 ) h( 17 )= -1.631924e - 001 =h( 32 )h( 5 )= - 6.493009e - 002 =h( 44 ) h( 18 )= -9.215300e - 002 =h( 31 )h( 6 )= - 4.657608e - 002 =h( 43 ) h( 19 )= 4.004513e - 002 =h( 30 )h( 7 )= - 1.386252e - 002 =h( 42 ) h( 20 )= 2.202029e

42、- 001 =h( 29 )h( 8 )= 2.674276e - 002 =h( 41 ) h( 21 )= 4.239994e - 001 =h( 28 )h( 9 )= 6.463158e- 002 =h( 40 ) h( 22 )= 6.191918e - 001 =h( 27 )h( 10 )= 8.776083e- 002 =h( 39 ) h( 23 )= 7.725483e - 001 =h( 26 )h( 11 )= 8.607506e- 002 =h( 38 ) h( 24 )= 8.568808e - 001 =h( 25 )h( 12 )= 5.500303e- 002

43、 =h( 37 )548.6.2 多相滤波器结构多相滤波器结构根据式(根据式(9.5.7)确定多相滤波器实现结构中的)确定多相滤波器实现结构中的5个多相滤波器系数如下个多相滤波器系数如下 55012( )()(0), (5), (10), (15), (20), (25), (30), (35), (40), (45)( )(1)(1), (6), (11), (16), (21), (26), (31), (36), (41), (46)( )(2)(2), (7), (12), (17), (22), (27), (32), (37),p nh nIhhhhhhhhhhp nhnIhhhh

44、hhhhhhp nhnIhhhhhhhh34(42), (47)( )(3)(3), (8), (13), (18), (23), (28), (33), (38), (43), (48)( )(4)(4), (9), (14), (19), (24), (29), (34), (39), (44), (49)hhp nhnIhhhhhhhhhhp nhnIhhhhhhhhhh8.7 8.7 采样率转换器的采样率转换器的MATLABMATLAB实现实现 MATLABMATLAB信号处理工具箱提供的采样率转换函数信号处理工具箱提供的采样率转换函数: :upfirdn, interp, decim

45、ate, resampleupfirdn, interp, decimate, resample功能功能: :Y=upfirdn(X,H,I,D) Y=upfirdn(X,H,I,D) 先对输入信号向量先对输入信号向量X X进行进行I I倍零值内插,再用倍零值内插,再用H H提供的提供的FIRDFFIRDF对内插对内插结果滤波,其中结果滤波,其中H H为为FIRFIR数字滤波器的单位脉冲向量,数字滤波器的单位脉冲向量,FIRFIR数字滤波数字滤波器采用高效的多相实现结构。最后按因子器采用高效的多相实现结构。最后按因子D D抽取得输出信号向量抽取得输出信号向量Y Y。Y = interp(X,I

46、)Y = interp(X,I)采用低通滤波插值法实现对序列向量采用低通滤波插值法实现对序列向量X X的的I I倍插值,其中的插值滤倍插值,其中的插值滤波器让原序列无失真通过,并在波器让原序列无失真通过,并在X X的两个相邻样值之间按照最小均的两个相邻样值之间按照最小均方误差准则插入方误差准则插入I-1I-1个序列值。得到的输出信号向量个序列值。得到的输出信号向量Y Y的长度为的长度为X X长长度的度的I I倍。倍。568.7 8.7 采样率转换器的采样率转换器的MATLABMATLAB实现实现Y =decimate(X,D,N)Y =decimate(X,D,N) 先对序列先对序列X X抗混

47、叠滤波,再按整数因子抗混叠滤波,再按整数因子D D对序列对序列X X抽取。抽取。输出序列输出序列Y Y的长度是的长度是X X长度的长度的1/ D1/ D。抗混叠滤波用。抗混叠滤波用N N阶切比雪阶切比雪夫夫型低通滤波器,阻带截止频率为型低通滤波器,阻带截止频率为0.8Fs/(2D)0.8Fs/(2D),如果省略,如果省略N N,则默认用,则默认用8 8阶切比雪夫阶切比雪夫型低通滤波;型低通滤波;Y = decimate(X,D,N,FIR)Y = decimate(X,D,N,FIR) 用长度为用长度为N N的的FIRFIR滤波器,滤波器,FIRFIR滤波器是抽取函数滤波器是抽取函数decim

48、atedecimate自动自动调用调用fir1(N,1/D)fir1(N,1/D)设计的。省略设计的。省略N N,则默认用,则默认用3030点点FIRFIR数字滤数字滤波器。波器。 其中,其中,1/D1/D为归一化为归一化-6dB-6dB截止频率,(存在问题?会截止频率,(存在问题?会有较大混跌,可能有修正,但函数介绍中未明示。有较大混跌,可能有修正,但函数介绍中未明示。57Y=resample(X,I,D) 采用多相滤波器结构实现按有理数因子采用多相滤波器结构实现按有理数因子I/ D的采样率转换。如果原序列的采样率转换。如果原序列向量向量X的采样频率为的采样频率为Fx,长度为,长度为Lx,则

49、序列,则序列Y的采样频率为的采样频率为Fy=(I/D) Fx ,长度为长度为(I/D) Lx(当(当(I/D) Lx不是整数时,不是整数时,Y的长度取不小于的长度取不小于(I/D) Lx的最小的最小整数)。该函数具有默认的抗混叠滤波器设计功能,按照最小均方误差准整数)。该函数具有默认的抗混叠滤波器设计功能,按照最小均方误差准则调用函数则调用函数firls设计。设计。Y,B =resample(X,I,D) 返回输出信号向量返回输出信号向量Y和抗混叠滤波器的单位脉冲序列向量和抗混叠滤波器的单位脉冲序列向量B。Y=resample (X,I,D,B) 允许用户提供抗混叠滤波器的单位脉冲序列向量允许

50、用户提供抗混叠滤波器的单位脉冲序列向量B。这些函数的其他调用格式请用这些函数的其他调用格式请用help命令查阅。命令查阅。 588.7 8.7 采样率转换器的采样率转换器的MATLABMATLAB实现实现8.7 8.7 采样率转换器的采样率转换器的MATLABMATLAB实现实现 例例8.7.1 8.7.1 编写程序产生长度为编写程序产生长度为4141的序列的序列x x( (n n)=sin(0.1n)+0.5sin(0.5n)=sin(0.1n)+0.5sin(0.5n), 再调用再调用resampleresample函数对函数对x x( (n n) )按因子按因子3/83/8进行采样率变进行采样率变换,并绘制采样率变换器的输入序列换,并绘制采样率变换器的输入序列x x( (n n) )、输出序

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论