第章QuarusII使用流程XXXX_第1页
第章QuarusII使用流程XXXX_第2页
第章QuarusII使用流程XXXX_第3页
第章QuarusII使用流程XXXX_第4页
第章QuarusII使用流程XXXX_第5页
已阅读5页,还剩33页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

EDA技术徐慧芳电子通信工程学院第3章QuartusII软件安装及使用

QuartusII设计流程一、创建工程

1.打开QuartusII软件

QuartusII设计流程一、创建工程

2.打开建立新工程窗口:选择File/NewProjectWizard图4-3利用“NewPrejectWizard”创建工程cnt10“五统一”之“三统一”顶层实体名称一定要更改路径!!!QuartusII设计流程一、创建工程

3.选择路径

工程所在文件夹工程名称QuartusII设计流程一、创建工程

4.添加设计文件:将设计文件加入工程中。单击“Next”,进入添加文件的界面,如图所示。如果有已经建立好的VHDL或者原理图等文件可以在Filename中选择路径然后添加,或者选择AddAll添加所有可以添加的设计文件(.VHDL,.Verilog原理图等)。如果没有直接点击“Next”,等建立好工程后再添加也可,这里我们暂不添加。

一、创建工程

4.选择目标芯片:Family选择CycloneIII,Availabledevice选EP3C25F324C8(Packge选择Any,PinCount选择324,Speedgrade选择8;可以缩小查找范围,如图3-4所示),点击“Next”。QuartusII设计流程一、创建工程

5.工具设置:EDAToolSettings。QuartusII支持外部工具,可通过选中来指定工具的路径。

如果都不作选择,表示仅选择QuartusII自含的所有设计工具。QuartusII设计流程一、创建工程

6.结束设置:单击“Next”,弹出“工程设置统计”窗口,上面列出了工程的相关设置情况。最后单击“Finish”,结束工程设置。

QuartusII设计流程QuartusII设计流程二、设计输入(具体可以参考书上P53-P57)1.原理图输入

QuartusII设计流程二、设计输入

1.原理图输入

“五统一”之“第四统一”QuartusII设计流流程二、设设计输输入2.文本输输入“五统统一””之““第四四统一一”二、设设计输输入2.文本输输入QuartusII设计流流程QuartusII设计流流程三、编编译QuartusII设计流流程三、编编译四、时时序仿仿真1.打开波波形编编辑器器QuartusII设计流流程波形编编辑器器QuartusII设计流流程四、时时序仿仿真2.设置仿仿真时时间区区域QuartusII设计流流程四、时时序仿仿真3.保存文文件QuartusII设计流流程File——>Saveas“五统统一””之““第五五统一一”四、时时序仿仿真4.向波形形编辑辑器拖拖入信信号节节点选选择命命令QuartusII设计流流程QuartusII设计流流程四、时时序仿仿真4.向波形形编辑辑器拖拖入信信号节节点QuartusII设计流流程四、时时序仿仿真5.编辑输输入波波形QuartusII设计流流程四、时时序仿仿真6.总线数数据格格式设设置QuartusII设计流流程四、时时序仿仿真7.仿真器器参数数设置置QuartusII设计流流程四、时时序仿仿真8.启动仿真器器QuartusII设计流流程四、时时序仿仿真9.仿真结结果QuartusII设计流流程四、时时序仿仿真(调整整波形形编辑辑器窗窗口))QuartusII设计流流程五、RTL分析QuartusII设计流流程六、引引脚锁锁定和和下载载引脚锁锁定(1)选择择命令令QuartusII设计流流程六、引引脚锁锁定和和下载载引脚锁锁定(2)选择择引脚脚命令令QuartusII设计流流程六、引引脚锁锁定和和下载载引脚锁锁定(3)输入入引脚脚QuartusII设计流流程六、引引脚锁锁定和和下载载引脚锁锁定(4)分配配引脚脚QuartusII设计流流程六、引引脚锁锁定和和下载载2.全局编编译QuartusII设计流流程七、编编程下下载1.打开编编辑窗窗口QuartusII设计流流程七、编编程下下载2.配置文文件QuartusII设计流流程

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论