-计算机组成原理实验3_第1页
-计算机组成原理实验3_第2页
-计算机组成原理实验3_第3页
-计算机组成原理实验3_第4页
-计算机组成原理实验3_第5页
已阅读5页,还剩26页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

PAGEPAGE1_计算机组成原理实验3第一篇:_计算机组成原理实验3计算机组成原理实验日志3实验题目:静态随机存储器实验实验目的:掌握静态随机存储器RAM工作特性及数据的读/写方法。实验主要步骤:(1)形成时钟脉冲信号T3。具体接线方法和操作步骤如下:①接通电源,把示波器接到方波信号源的输出插孔H23调节电位器W1及W2,使H23端输出实验所期望的频率和占空比的方波。②将时序电路模块(STATEUNIT)单元中的φ和信号源单元(SIGNALUNIT)中的H23排针相连。③在时序电路模块中有两个二进制开关“STOP”和“STEP”。将“STOP”开关置为“RUN”状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3端输出连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为“STEP”状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。用PC联机软件中的示波器功能也能看到波形。这样可以代替真实示波器。(2)按图2-2连接实验线路,仔细查线无误后接通电源。图2-2静态随机存储器实验接线图(3)写存储器。给存储器的00、01、02、03、04地址单元中分别写入数据11H、12H、13H、14H、15H。由上面的存储器实验原理图(图2-2)看出,由于数据和地址全由一个数据开关给出,因此要分时地给出。下面的写存储器要分两个步骤:第一步写地址,先关掉存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开数据开关三态门(SW-B=0),由开关给出要写入的存储单元的地址,按动START产生T3脉冲将地址打入到地址锁存器;第二步写数据,关掉地址锁存器门控信号(LDAR=0),打开存储器片选(CE=0),使之处于写状态(CE=0,WE=1),由开关给出此单元要写入的数据,按动STRAT产生T3脉冲将数据写入到当前的地址单元中。写其他单元依次循环上述步骤。写存储器流程如图2-3所示(以向00号单元写入11H为例)。图2-3写存储器流程图(4)读存储器。依次读出第00、01、02、03、04号单元中的内容,观察上述各单元中的内容是否与前面写入的一致。同写操作类似,读每个单元也需要两步:第一步写地址,先关掉存储器的片选(CE=1),打开地址锁存器门控信号(LDAR=1),打开,由开关给出要读存储单元的地址,按动START产生T3脉冲将地址打入到地址锁存器;第二步读存储器,关掉数据开关三态门(SW-B=1),打开存储器(CE=0),使它处于读状态(CE=0,WE=0),此时数据总线上显示的数据即为从存储器当前地址中读出的数据内容。读其他单元依次循环上述步骤。读存储器操作流程如下图2-4所示(以从00号单元读出11H数据为例)。图2-4读存储器流程图实验结果:置入存储器地址00写入存储器数据11H置入存储器地址01写入存储器数据12H置入存储器地址02写入存储器数据13H置入存储器地址03写入存储器数据14H置入存储器地址04写入存储器数据15H读数据置入存储器地址00读出存储器数据11H置入存储器地址01读出存储器数据12H置入存储器地址02读出存储器数据13H置入存储器地址03读出存储器数据14H置入存储器地址04读出存储器数据15H实验思考题(1)一片静态存储器6116(2K×8),容量是多大?因实验箱上地址寄存器只有8位接入6116的A7-A0,而高三位A8-A10接地,所以实际存储容量是多少?为什么?答:容量是16kbit大小,当只有A7-A0只有8位字时,实际容量是256*8=4Kbit大小。(2)归纳出向存储器写入一个数据的过程,包括所需的控制信号(为“1”还是为“0”)有效。答:根据实验指导书上WR0有效,此时为写入数据心得体会:通过这次实验掌握了静态存储器的基本原理,以及存储器是如何写入数据和读取数据的,强化了计算机存储器的理解第二篇:计算机组成原理实验ALU设计moduleALU(ALU_OP,AB_SW,F_LED_SW,LED);input[2:0]ALU_OP,AB_SW,F_LED_SW;output[7:0]LED;reg[7:0]LED;reg[31:0]A,B,F;regOF,ZF;always@(*)beginendalways@(*)beginZF=0;OF=0;case(ALU_OP)3'b000:beginF=ABend3'b001:beginF=A|B;end3'b010:beginF=A^B;end3'b011:beginF=~(A|B);end3'b100:begin{OF,F}=A+B;OF=OF^F[31];end3'b101:begin{OF,F}=A-B;OF=OF^F[31];end3'b110:beginF=A3'b000:beginA=32'h0000_0000;B=32'h0000_0000;end3'b001:beginA=32'h0000_0003;B=32'h0000_0607;end3'b010:beginA=32'h8000_0000;B=32'h8000_0000;end3'b011:beginA=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end3'b100:beginA=32'h8000_0000;B=32'hFFFF_FFFF;end3'b101:beginA=32'hFFFF_FFFF;B=32'h8000_0000;end3'b110:beginA=32'h1234_5678;B=32'h3333_2222;end3'b111:beginA=32'h9ABC_DEF0;B=32'h1111_2222;endendcaseendcaseif(F==32'b0)ZF=1;endalways@(*)beginendcase(F_LED_SW)3'b000:LED=F[7:0];3'b001:LED=F[15:8];3'b010:LED=F[23:16];3'b011:LED=F[31:24];default:beginLED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;endendcaseendmodule管脚配置NET“AB_SW[0]”LOC=T10;NET“AB_SW[1]”LOC=T9;NET“AB_SW[2]”LOC=V9;NET“ALU_OP[0]”LOC=M8;NET“ALU_OP[1]”LOC=N8;NET“ALU_OP[2]”LOC=U8;NET“F_LED_SW[0]”LOC=V8;NET“F_LED_SW[1]”LOC=T5;NET“F_LED_SW[2]”LOC=B8;NET“LED[0]”LOC=U16;NET“LED[1]”LOC=V16;NET“LED[2]”LOC=U15;NET“LED[3]”LOC=V15;NET“LED[4]”LOC=M11;NET“LED[5]”LOC=N11;NET“LED[6]”LOC=R11;NET“LED[7]”LOC=T11;寄存器modulejicunqi(inputClk,inputReset,input[4:0]Reg_Addr,inputWrite_Reg,input[1:0]Sel,inputAB,outputreg[7:0]LED);reg[31:0]W_Data;wire[31:0]R_Data_A,R_Data_B,LED_Data;REGRU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);assignLED_Data=AB?R_Data_A:R_Data_B;always@(*)beginW_Data=32'h0000_0000;LED=8'b0000_0000;if(Write_Reg)begincase(Sel)2'b00:W_Data=32'h1234_5678;2'b01:W_Data=32'h89AB_CDEF;2'b10:W_Data=32'h7FFF_FFFF;2'b11:W_Data=32'hFFFF_FFFF;endcaseendelsebegincase(Sel)2'b00:LED=LED_Data[7:0];2'b01:LED=LED_Data[15:8];2'b10:LED=LED_Data[23:16];2'b11:LED=LED_Data[31:24];endcaseendendendmodule`timescale1ns/1ps//REG.vmoduleREG(inputClk,inputReset,input[4:0]R_Addr_A,input[4:0]R_Addr_B,input[4:0]W_Addr,input[31:0]W_Data,inputWrite_Reg,output[31:0]R_Data_A,output[31:0]R_Data_B);reg[31:0]REG_Files[0:31];integeri;assignR_Data_A=REG_Files[R_Addr_A];assignR_Data_B=REG_Files[R_Addr_B];always@(posedgeClkorposedgeReset)beginif(Reset)beginfor(i=0;i<=31;i=i+1)REG_Files[i]<=32'h0000_0000;endelsebeginif(Write_Reg)beginREG_Files[W_Addr]<=W_Data;endendendendmodule管脚配置NET“Clk”LOC=“C9”;NET“Reset”LOC=“D9”;NET“Reg_Addr[4]”LOC=“T5”;NET“Reg_Addr[3]”LOC=“V8”;NET“Reg_Addr[2]”LOC=“U8”;NET“Reg_Addr[1]”LOC=“N8”;NET“Reg_Addr[0]”LOC=“M8”;NET“Write_Reg”LOC=“V9”;NET“Sel[1]”LOC=“T9”;NET“Sel[0]”LOC=“T10”;NET“AB”LOC=“A8”;NET“LED[7]”LOC=“T11”;NET“LED[6]”LOC=“R11”;NET“LED[5]”LOC=“N11”;NET“LED[4]”LOC=“M11”;NET“LED[3]”LOC=“V15”;NET“LED[2]”LOC=“U15”;NET“LED[1]”LOC=“V16”;NET“LED[0]”LOC=“U16”;第三篇:_计算机组成原理实验2计算机组成原理实验日志实验题目:进位、移位控制实验实验目的:(1)了解带进位控制的运算器的组成结构;(2)验证带进位控制的运算器的功能。(3)了解移位发生器74LS299的功能;(4)验证移位控制电路的组合功能。实验主要步骤:一、进位(1)按图1.2-2连接实验电路并检查无误。(2)打开电源开关。(3)用输入开关向暂存器DR1和DR2置数,方法同前。(4)关闭数据输入三态门(SW-B=1),打开ALU输出三态门(ALU-B=0),并使LDDR1=0、LDDR2=0,关闭寄存器打入控制门。(5)对进位标志清零。实验板上“SWITCHUNIT”单元中的CLR开关为标志CY、ZI的清零开关,它为零状态时是清零状态,所以将此开关做1→0→1操作,即可使标志位清零。注意:进位标志指示灯CY亮时表示进位标志为“0”,无进位;标志指示灯CY灭时表示进位为“1”,有进位。图1.2-1带进位运算器通路图图1.2-2带进位运算实验接线图(6)验证带进位运算及进位锁存功能。使Cn=1,AR=0,进行带进位算术运算。例如,做加法运算,使ALU-B=0,S3、S2、S1、S0、M的状态为1、0、0、1、0,此时数据总线上显示的数据为DR1加DR2加当前进位标志的和,但这时的进位状态位还没有打入进位锁存器中,(它是要靠T4节拍来打入的。)这个结果是否有进位产生,则要按动微动开关KK2,若进位标志灯亮,则无进位,反之则有进位。因为做加法运算时数据总线一直显示的数据为DR1+DR2+CY,所以当有进位输入到进位锁存器时,总线显示的数据将为加上当前进位锁存器中锁存的进位的结果。二、移位(1)按图1.3-2连接实验电路并检查无误。(2)打开电源开关。(3)向移位寄存器置数。①拨动输入开关,形成二进制数01101011(或其它数值)。②使SWITCHUNIT单元中的开关SW-B=0,打开数据输入三态门。③使S0=1、S1=1,并按动微动开关KK2,则将二进制数01101011置入了移位寄存器。④使SW-B=1,关闭数据输入三态门。(4)移位运算操作。①参照表1.3-1中的内容,先将S1、S0置为0、0,检查移位寄存器单元装入的数是否正确,然后通过改变S0、S1、M、299-B的状态,并按动微动开关KK2,观察移位结果。②根据移位控制电路功能表1.3-1中的内容,分析移位运算的结果是否正确。图1.3-2移位运算实验接线图实验结果:一.进位向DR1中置入80H向DR2中置入80HCY初始位置为亮0加法完成后CY为灭总线显示01H二.移位输入00011000移位后00110000心得体会:通过本次实验了解了的带进位的加法和移位器的原理。第四篇:计算机组成原理实验(存储器)实验3半导体存储器原理实验(一)、实验目的(1)熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;(2)熟悉半导体存储器存储和读出数据的过程;(3)了解使用半导体存储器电路时的定时要求。(二)、实验要求利用QuartusⅡ器件库提供的参数化存储单元,设计一个由128X8位的RAM和128X8位的ROM构成的存储器系统。请设计有关逻辑电路,要求仿真通过,并设计波形文件,验证该存储器系统的存储与读出。(三)、实验原理图与仿真图ram内所存储的数据:rom内所存储的数据:仿真图如下:(四)心得体会本次试验中,我们应该熟练掌握QuartusⅡ软件的使用方法;熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;熟悉半导体存储器存储和读出数据的过程;了解使用半导体存储器电路时的定时要求。并且制定实验方案然后进行实验验证。要学会将学到的知识运用到实际中。第五篇:计算机组成原理实验报告+++数据通路实验数据通路组成实验一、实验目的(1)将双端口通用寄存器组和双端口存储器模块联机;(2)进一步熟悉计算机的数据通路;(3)掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法;(4)锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。二、实验电路图9.14示出了数据通路实验电路图,它是将前面进行的双端口存储器实验模块和一个双端口通用寄存器组模块连接在一起形成的,存储器的指令端口不参与本次实验,通用寄存器组连接运算器模块,本实验涉及其中的操作数寄存器DR2。由于RAM是三态门输出,因而可以将RAM连接到数据总线BUS上。此外,BUS上还连接着双端口通用寄存器组。这样,写入RAM的数据可由通用寄存器提供,而从RAM读出的数据也可送到通用寄存器保存。RAM和DR2在前面的实验中使用过。对于通用寄存器组RF,它由一个在系统可编程(InSystemProgramable)芯片ispLSI1016固化了通用寄存器组的功能而成,其功能与双端口寄存器组MC14580相类似,内含四个8位的通用寄存器,带有一个输入端口和两个输出端口,从而可以同时写入一路数据,读出两路数据。输入端口取名为WR端口,连接一个8位的缓冲寄存器ER(已集成在ispLSI1016芯片中),输出端口取名为RS端口、RD端口,分别连接运算器模块的两个操作数寄存器DR1、DR2,其中,连接DR1的RS端口还可通过一个8位的三态门RSO直接向BUS输出。双端口通用寄存器组模块的控制信号中,RS1、RS0用于选择从RS端口读出的通用寄存器,RD1、RD0用于选择从RD端口读出的通用寄存器,上述选择信号在T1脉冲的上升沿到来时生效。而WR1、WR0则用于选择从WR端口写入的通用寄存器。WRD是写入控制信号,WRD=1时,在T2上升沿的时刻,从ER写入数据;WRD=0时,ER中的数据不写入通用寄存器中。LDER信号控制ER从BUS写入数据,RS-BUS信号则控制RS端口到BUS的输出三态门。以上控制信号各自连接一个二进制开关。三、实验设备(1)JYS-4计算机组成原理实验仪一台(2)双踪示波器一台(3)直流万

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论