2022年vhdl期末考试卷和复习提纲_第1页
2022年vhdl期末考试卷和复习提纲_第2页
2022年vhdl期末考试卷和复习提纲_第3页
2022年vhdl期末考试卷和复习提纲_第4页
2022年vhdl期末考试卷和复习提纲_第5页
已阅读5页,还剩1页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

因为卷子带不出来,所以就抄了一些比拟重要的可能会错的题目,师弟师妹们可以用来参考。

物理与电信工程学院2022/2022学年(2)学期期末考试卷子

《可编程逻辑系统设计》卷子(A卷)

一I名词解析(别稚嫩地去背什么是ASIC、FPGA了。TAT。此题10分呐)

1、自上而下的设计方法

2、时序逻辑电路

二、填空题

1、VHDL设计实体的根本结构由库、程序包、实体、结构体和配置组成。

2、在VHDL中,为目标变量赋值的符号是:=,程序中为信号赋值的符号是<=

3、VHDL的三种描述方法是、、,

4、VHDL中有四种数据对象,分别为常数、变量、信号和文件

三、选择题(一共10题,20分)

1、在VHDL中idata是一个信号,数据类型为std」ogic_vector,指出下面错误的选项是(D)

A、idata<="00001111"

B、idata<=b"00001111"

C、idata<=x"AB"

D、idata<=B"21"

2、在以下标识符中,(A)是VHDL错误的标识符

A.4h_add

B.h_adde4

C.h_adder_4

D._h_adde

3、在VHDL的端口声明语句中,用(A)声明端口为输入方向。

A、IN;B、OUT;C、INOUT;D、BUFFER

4、以下表达式错误的选项是(A)

A、CONSTANTVcc:REAL:="0101”;

B、CONSTANTDELY:TIME:=100ns;

C,CONSTANTFBUS:BIT_VECTOR:="0101”;

D、CONSTANTCNT:INTEGER:=9;

5、以下语句中,属于顺序语句的是0

A、进程语句B、IF语句C、元件例语句D、条件赋值语句

6、以下哪个语句是错误的(D)

A、a<=bANDcANDdANDe

B、a<=bORcORdORe

C、a<=((bNANDc)NANDd)ANDe

D、a<=bANDcORdANDe

四、改错题(2题)

1、A的数据类型为INTEGER,B的数据类型为STD_LOGIC。推断以下程序是否正确,如果

错误请说明原因并改错。

ARCHITECTUREtestOFtestIS

BEGIN

B<=A;

ENDtest;

答:数据类型不用,要转化,自己看书怎么转化吧~

2、题目太长了没抄下来,考点是CASE语句中必须包含全部的可能性。题中是“00〃”01〃

“10〃,少了“11〃。

五、推断程序实验什么功能

1、注意是同步还是异步复位跟置位。

2、忘记了。==o是个四位的带进位输入输出的加法器。

七、使用IF语句设计一个带有同步复位功能的8位二进制加法计数器

八、依据给出的真值表用VHDL言语描写具有使能端的3・8译码器

3-8译码器的真值表

ena2ala0y

100000000001

100100000010

101000000100

1Oil00001000

110000010000

110100100000

111001000000

111110000000

0X00000000

libraryIEEE;

useIEEE.std_logic_1164.aIl;

useIEEE.std_logic_arith.aII;

useIEEE.std_logic_unsigned.all;

entitytri_eightis

port(

a:instd_Iogic_vector(2downto0);

en:instd_logic;

y:outstd_logic_vector(7downto0)

);

endtri_eight;(2)

architectureaoftri_eightis

signalsei:std_logic_vector(3downto0);(4)

begin

sel(O)<=a(0);sel(l)<=a(l);sel⑵<=a⑵;sei⑶<=en;⑸

withseiselect

y<="00000001''when''1000'',

H00000010nwhenH100r\

“00000100“when"1010”,

“00001000”when

“00010000“when"1100”,

”00100000”whenH110r\

“01000000“when"1110”,

“10000000''when"1111'',

H0000()000nwhenothers;(9)

enda;(10)

复习提要

主要题型说明:

名词解释

填空题

选择题

推断题

读程序:读程序,说明程序完成的功能;

程序填空:给出一段程序,某些语句留空,要求读懂程序并在留空的位置填写适

当的语句或注释。

编写程序:依据要求编写完成某功能的程序。

主要内容

第一章概述

了解传统的系统硬件设计方法,了解利用硬件描述言语的硬件电路设计方法,优

势;

第三章VHDL言语程序的根本结构

(1)了解VHDL言语程序的组成局部

(2)了解各个组成局部的语法结构

第四章VHDL言语的数据类型与运算操作符

(1)了解VHDL言语的客体

理解信号与变量的区别

(2)VHDL言语的数据类型

(3)数据类型的转换

不同的数据类型不能进行运算和直接代入。掌握常用的转换函数,如由integer

转为std_logic_vector,或由std」ogic_vector转为integer。

(4)VHDL言语的运算操作符

逻辑运算符

必须注意,运算符的左边和右边,以及代入信号的数据类型必须是相同的。

在VHDL言语中,逻辑表达式的左右没有优先级差异,应加上括号确定运算

顺序,当然也有例外。

算术运算符

并置运算符的应用

第五章VHDL言语构造体的描述方法

(1)了解构造体的三种描述方法:行为描述方法,存放器传输(RTL)描

述方法,结构描述方法。

(2)了解VHDL言语的两种延时类型:惯性延时和传输延时

(3)了解和掌握结构化描述语句:COMPONENT声明语句+元件例化语句

元件例化语句:

标号名:元件名PORTMAP(...)

了解两种元件的端口信号映射方法:位置映射方法、名称映射方法

第六章VHDL言语的主要描述语句

11)顺序描述语句

了解顺序描述语句的应用场合。

了解VHDL中的主要顺序描述语句。

掌握常用顺序语句的语法格式和应用等

理解和掌握信号和变量的区别

WAIT语句

断言语句

IF语句

IF语句的3种书写格式

理解和应用IF语句的多项选择择操纵语句隐含的优先级关系。

CASE语句

CASE语句使用的考前须知

LOOP语句

⑵并发语句

了解VHDL中的主要并发语句

易混淆:进程语句之间的并发性,进程内的语句的顺序性

了解进程之间的通信是通过信号量而不是变量来完成的

并发信号代入语句

条件信号代入语句

选择信号代入语句

(3)其它语句和有关规定的说明

了解VHDL中所使用的名字的命名规则

(5)属性描述与定义语句

了解VHDL中各种预定义的属性类型。

(6

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论