电工电子技术基础 王慧丽 第2版 课件 第4-8章 电力拖动-基本数字器件_第1页
电工电子技术基础 王慧丽 第2版 课件 第4-8章 电力拖动-基本数字器件_第2页
电工电子技术基础 王慧丽 第2版 课件 第4-8章 电力拖动-基本数字器件_第3页
电工电子技术基础 王慧丽 第2版 课件 第4-8章 电力拖动-基本数字器件_第4页
电工电子技术基础 王慧丽 第2版 课件 第4-8章 电力拖动-基本数字器件_第5页
已阅读5页,还剩224页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

第4章电力拖动4.1常用低压电器4.2典型三相异步电动机控制电路4.2.1变压器的概述4.2.2变压器的工作原理4.1常用的低压电器

手动电器

刀开关低压电器

自动电器按钮

自动空气断路器

交流接触器

时间继电器

热继电器

中间继电器

一刀开关

用途:用作电源的引入开关,或用来直接起动和停止小容量电动机。结构:操作手柄闸刀夹座绝缘底板文字符号:QS图形符号单掷单刀单掷双刀单掷三刀

二组合开关

用途:用作电源的引入开关,或用来直接起动、停止、正反转小容量电动机。50Hz380V以下或直流220V以下的电路中图形符号Q文字符号:

三按钮

用途:通常用来短时间接通或断开控制电路按钮的示意图复位弹簧支柱连杆常闭静触头桥式静触头常开静触头外壳4SBSBSB结构符号名称常闭按钮(停止按钮)常开按钮(起动按钮)复合按钮1234123按钮帽(电器联锁)

四熔断器

熔断器俗称保险丝,是一种简单有效的短路保护电器。熔断器中的熔体一般是熔点很低的铅锡合金丝,也可用截面很细的铜丝制成。当电路发生短路时,通过熔丝的电流很大,将其烧断,从而切断电源,保护电器设备。图形符号为:FU

五交流接触器

交流接触器结构及工作原理复位弹簧动铁心线圈静铁心主触点(常开)辅助触点

接触器是利用电磁力来接通和断开大电流电路的一种自动控制电器,它常用在控制电动机的主电路上。1231´2´3´常闭触点常开触点允许通过大电流用在主电路中只许通过小电流用在控制电路中符号:KM线圈KM常开(动合)触点KM常闭(动断)触点

主触点允许通过的电流大,一般在异步电动机的定子中使用;

辅助触点允许通过的电流小,一般在控制电路中使用交流接触器实物图CJX1系列交流接触器CJX2系列交流接触器CJT1系列交流接触器六

中间继电器

中间继电器常用来传递多个信号和同时控制多个电路,也可以直接控制小容量电动机或其它电气执行元件。KA符号线圈常开触点常闭触点KAKAKA复合触点七

热继电器

热继电器的结构原理图当主电路中电流超过容许值而使双金属片受热时,它便向上弯曲,因而脱钩。

热继电器是利用电流的热效应而动作的电器,它是用于保护电动机过载、断相及电流不平衡的保护电器。图形符号八低压断路器低压断路器也叫自动空气开关,是常用的一种低压保护电器,可用来接通和分断负载电路,控制不频繁启动的电动机,并能在线路和电动机发生过载、短路、欠电压的情况下进行可靠的保护。低压断路器原理和符号图九

时间继电器空气阻尼型时间继电器原理示意图时间继电器图形符号十

行程开关行程开关外形图和符号行程开关又称限位开关,它是按工作机械的行程或位置要求而动作的电器。4.2典型的三相异步电动机控制电路4.2.1

直接起动控制电路

一点动控制电路1)起动:闭合QS→按下按钮SB→KM线圈通电→主触点KM闭合→电机M运行2)停止:松开按钮SB→KM线圈断电→主触点KM断开→电机M停车起动停止过程

二自锁(长动)控制电路4.2典型的三相异步电动机控制电路4.2.2

正反转控制电路

单重互锁正反转控制电路一单重互锁正反转控制电路(正停反)

双重互锁正反转控制电路二双重互锁正反转控制电路(正反停)第5章稳压电源电路5.1半导体二极管的特性与识别5.1.1半导体基础知识5.1.2晶体二极管5.2整流电路分析5.2.1单相半波整流电路5.2.2单相桥式全波整流电路5.3滤波与稳压电路分析5.3.1滤波电路5.3.2稳压电路5.4集成稳压器5.4.1三端电压固定式集成稳压器5.1半导体二极管的特性与识别5.1.1

半导体基础知识一、半导体的导电特点1.半导体材料

物质分为导体、半导体、绝缘体,半导体是4价元素。半导体材料的特点:半导体的导电能力受光和热影响

T°↑

导电能力↑光照↑导电能力↑纯净的半导体掺入杂质导电性会大大增强。+4

纯净的、具有晶体结构的半导体称为本征半导体。本征半导体中的载流子自由电子(-)

空穴(+)2.本征半导体空穴与电子成对出现并可以复合。3.杂质半导体N型半导体掺五价元素,如磷,自由电子数多于空穴数,自由电子数是多子。P型半导体掺三价元素,如硼,空穴数多于自由电子数,空穴是多子。4.扩散电流与漂移电流载流子由于浓度差异而形成运动所产生的电流叫扩散电流。在电场作用下,载流子定向运动而形成的电流叫漂移电流。

1.PN结的形成二、

PN结扩散运动空间电荷区削弱内电场漂移运动内电场动态平衡----------------P内电场电荷区空间扩散运动漂移运动N

外电场方向与内电场方向相反

空间电荷区(耗尽层)变薄

扩散>漂移导通电流很大,呈低阻态2.PN结的单向导电性--------PN内电场外电场

加正向电压(正偏)P(+)N(-)--------外电场与内电场相同耗尽层加厚

漂移>扩散形成反向电流IR,很小。呈高阻态N----------------P

内电场

外电场

加反向电压(反偏)P(-)N(+)PN结正偏,导通;PN结反偏,截止5.1半导体二极管的特性与识别5.1.2

晶体二极管一、半导体二极管的伏安特性+P区--阳极N区--阴极阳极阴极1.正向特性死区电压硅管0.5V

锗管0.1V

正向导通电压硅管0.7V

锗管0.3Vi(mA)u(V)反向击穿电压死区GeSi2.

反向特性反向饱和电流很小,可视为开路,反向电压过高,电流急增,二极管发生击穿。导通电压VD二、半导体二极管的主要参数1.最大整流电流IF

二极管允许通过的最大正向平均电流。2.最高反向工作电压URM

保证二极管不被击穿允许加的最大反向电压。3.最大反向饱和电流IR

室温下,二极管加最高反向电压时的反向电流,与温度有关。二、半导体二极管的主要参数1.最大整流电流IF

二极管允许通过的最大正向平均电流。2.最高反向工作电压URM

保证二极管不被击穿允许加的最大反向电压。3.最大反向饱和电流IR

室温下,二极管加最高反向电压时的反向电流,与温度有关。

例:如图,E=5V,二极管正向压降忽略不计,画出uo波形。EVDuiuO10ui(V)ωtui<EVD截止

uo=Eui>EVD导通

uo=ui5uOωt5

利用二极管的单向导电性可对输出信号起限幅作用。例:二极管组成电路如图,设二极管导通电压为0.3V,试求输出电压UF。+3VUF-12VR0VVD1VD23

>0>-12VVD1率先导通,

UF=3-0.3=2.7VVD2截止解:5.2整流电路分析5.2.1

单相半波整流电路5.2.2

单相桥式全波整流电路一、单相半波整流电路1.电路分析正半周(0~π)a(+)b(-)VD导通VDu2uo~u1RLabu2π2π3πuoπ2π3π

负半周(π~2π)a(-)b(+)VD截止

uo=0uo=u2

单相半波整流电压平均值2.参数计算3.元件选择RL中电流平均值二、单相桥式全波整流电路VD1~u2uou1RLabVD2VD3VD41.电路分析正半周(0~π)a(+)b(-)电流方向

负半周(π~2π)a(-)b(+)

电流方向u2π2π3πuoπ2π3π2.参数计算

桥式整流电路电压平均值RL中电流平均值3.元件选择5.3滤波与稳压电路分析5.3.1滤波电路5.3.2稳压电路一、滤波原理

滤波电路是利用储能元件(与负载并联电容或与负载串联电感)滤掉整流所得的单向脉动电压的交流分量,保留直流分量,使输出电压脉动减小。

电容两端电压不能跃变,在整流电路中加入电容,由于电容不断的充放电,使输出电压的脉动减小。VDu2uo~u1RLabC~u1u2uoRLCu2π2π3πu2π2π3πuoπ2π3πuoπ2π3π5.4集成稳压电路5.4.1W78××系列稳压器以工作方式分 :a.串联型稳压电路

b.并联型稳压电路

c.开关型稳压电路以输出电压分:a.固定稳压电路

b.可调式稳压电路

集成稳压电源的主要参数

1.电压调整率SV

表征负载不变,输出电压变化的稳压能力。2.输出电阻R0

表征输入电压不变,负载变化时的稳压能力。3.输出电压温度系数ST

指负载、输入电压不变时,温度引起的输出变化。W78XX系列集成稳压电源W78××123输入端输出端公共端W78××系列输出固定的正电压有5V、6V、9V、12V、15V、18V、24V,最高输入电压为35V,如W7815,在1、3脚输入35V,在2、3脚输出15V。

基本应用电路W78××123COCLUIUOCO用于消除引线的电感效应引起的自激振荡CL用于削弱高频噪声

提高输出电压的稳压电路W78××123COCLUIUOUZUO=UXX+UZ

例:

利用W7812接成输出电压可调的稳压电路,试求输出电压可调范围。W7812123COCLUIUO++10K10K30K当滑动头调到最下端当滑动头调到最上端解:第6章晶体管放大电路分析6.1变晶体管的特性与识别6.1.1晶体管的基本结构及类型6.1.2晶体管的放大原理6.1.3晶体管的特性曲线6.1.4晶体管的主要参数6.2放大电路分析6.2.1共发射极放大电路6.2.2基本共集放大电路6.3集成运算放大电路6.3.1差动放大电路6.3.2互补对称电路6.3.3集成运放基本简介6.3.4集成运放在模拟信号运算方面的应用6.1晶体管的特性与识别6.1.1晶体管基本结构及类型基区集电区发射区基极集电极发射极集电结发射结NPN型结构及符号VTNPN型结构PNP型结构和符号特点:发射区参杂浓度很大,基区薄且浓度低,集电结面积大。VTPNP型结构6.1晶体管的特性与识别6.1.2晶体管放大原理1.放大条件(1)内部特点决定 发射区产生大量载流子基区传送载流子集电区收集载流子(2)外部条件

发射结正偏,集电结反偏IEICIBRBRCEBECNNP发射区电子发射结正偏利于发射区发射电子基区集电结反偏利于集电区收集电子

集电区2.电流分配IBRBEBICRCECIE基极电流很小的变化,将引起集电极电流一个很大的变化。直流放大系数交流放大系数6.1晶体管的特性与识别6.1.3晶体管特性曲线1.输入特性曲线IB=f(UBE)︳UCE=常数

发射结、集电结正偏,两个二极管正向并联。

集电结反偏,IB减小UCE>1IB变化很小,与UCE=1曲线重合。IB(μA)UBE(V)UCE=0UCE≥12.输出特性曲线饱和区截止区放大区

截止区IB≈0,IC≈0,UBE≤0发射结反偏,集电结反偏。

放大区

IC=βIB

发射结正偏,集电结反偏。

饱和区

UCE≤UBE

,发射结正偏、集电结正偏。

IC(mA)UCE(V)IB=0IB=20IB=40IB=60IB=806.1晶体管的特性与识别6.1.4晶体管主要参数2.极间反向电流

ICBO:发射极开路,基极与集电极间的反向饱和电流,受温度影响大。

ICEO:基极开路,集电极与发射极间的穿透电流。1.放大倍数

集电极最大电流ICMIC<ICM

集电极—发射极反向击穿电压UCEO

基极开路,加在集电极和发射极间的最大允许工作电压。

UCE<UCEO

集电极最大允许功耗PCMICUCE<PCM3.极限参数6.2放大电路分析6.2.1共发射极放大电路一放大的概念

放大的实质:

用小能量的信号通过三极管的电流控制作用,将放大电路中直流电源的能量转化成交流能量输出。

对放大电路的基本要求:

1.要有足够的放大倍数(电压、电流、功率)。

2.尽可能小的波形失真。另外还有输入电阻、输出电阻、通频带等其它技术指标。二电路组成

晶体管T--放大元件,iC=

iB。要保证集电结反偏,发射结正偏,使晶体管工作在放大区。基极电源EB与基极电阻RB--使发射结处于正偏,并提供大小适当的基极电流。共发射极基本电路ECRSesRBEBRCC1C2T++–RL++––ui+–uo+–++–uBEuCE–iCiBiE共发射极基本电路ECRSesRBEBRCC1C2T++–RL++––ui+–uo+–++–uBEuCE–iCiBiE信号源负载集电极电源EC

--为电路提供能量。并保证集电结反偏。集电极电阻RC--将变化的电流转变为变化的电压。耦合电容C1

、C2

--隔离输入、输出与放大电路直流的联系,同时使信号顺利输入、输出。+UCCRSesRBRCC1C2T+++–RLui+–uo+–++–uBEuCE–iCiBiE共发射极基本电路常用的画法1、基本思想

非线性电路经适当近似后可按线性电路对待,利用叠加定理,分别分析电路中的交、直流成分。分析三极管电路的基本思想和方法直流通路(ui=0)分析静态。画交流通路原则:1.固定不变的电压源都视为短路;2.固定不变的电流源都视为开路;3.视电容对交流信号短路交流通路(ui

0)分析动态,只考虑变化的电压和电流。2、基本方法图解法:在输入、输出特性图上画交、直流负载线,求静态工作点“Q”,分析动态波形及失真等。解析法:根据发射结导通压降估算“Q”。用小信号等效电路法分析计算电路动态参数。三静态分析

符号说明iB=IB+ib

iC=IC+ic直流分量:IB、IC、IE、UCE交流分量:ib、ic、ie、uce总电流量:iB、iC、iE、uCEiE=IE+ie

uCE=UCE+ucetuoUbeUBEubeuBE直流通道在Δui=0时所形成的直流通路称为直流通道。直流通道里只有直流量,此时称放大电路为静态。画法:视电容为开路,画出电路其余部分。+UCCRSesRBRCC1C2T+++–RLui+–uo+–++–uBEuCE–iCiBiE+UCCRBRCT++–UBEUCE–ICIBIE直流通路+UCCRBRCC1C2T++ui+–uo+–++–uBEuCE–iCiBiE+UCCRBRCT++–UBEUCE–ICIBIE1.估算法静态时三极管各极电流和电压值称为静态工作点Q。静态分析的目的:确定放大电路的静态工作点(直流值)IBQ,ICQ

,UCEQ分析方法:利用直流通路计算放大电路的静态工作点。直流通路静态工作点+UCCRBRCT++–UBEUCE–ICIBIE直流通路2.图解法输入直流偏置线方程:UBE=UCC

IBRB输出直流负载线方程:uCE/ViC/mAUCCUCC/RCOQUCEQICQIB=20

A输出回路图解输入回路图解QuBE/ViB/

A静态工作点UCCUCC/RBUBEQIBQOUCE=UCC

RCIC+UCCRBRCT++–UBEUCE–ICIBIE

四动态分析交流通道 只考虑交流信号形成的电路通道称为交流通道。 此时视直流量短路,电路为动态。

画法:视直流源、电容均短路。○○RSRBRCuSuO

+UCCRBRCC1C2T++ui+–uo+–++–uBEuCE–iCiBiE在静态的基础上加入输入信号时的工作状态称为动态。IBQuiOtiBOtuCEOtuoOtiCOtICQ

uBE

Ot

1、微变等效电路法动态分析的目的:确定放大电路的电压放大倍数

,输入电阻和输入电阻。分析方法:微变(小信号)等效电路分析法。+uce–+ube–

ibicCBE从输入端口看进去,相当于电阻rbe几百-几千欧姆OIB

UBE

IB

Q

IB

UBE输入电阻从输出端口看进去为一个受ib

控制的电流源+uce–+ube–

ibicCBEQiC

ICUCEuCE

IB

ICICic

=

ibrbe

Eibic

ib+ube

+uce

BC

IC

UCE阻值很高,约几十千欧~几百千欧,可忽略。rce输出电阻

微变等效电路是对交流分量而言,先画放大电路的交流通路,将交流通路中的晶体管用其微变等效电路来代替,即得到放大电路的微变等效电路。晶体三极管交流分析步骤:①

分析直流电路,求出“Q”,计算rbe。②

画电路的交流通路。③

在交流通路上把三极管画成H参数模型。④

分析计算叠加在“Q”点上的各极交流量。根据画交流通路原则:ECRBRC+ui

+

RSus+uo

C2RL+C1++ui

+

RSusRBRC+uo

RL交流通路+uo

+–

ibicRBRCRLuSRS+ui

+uo

+–

RBRLRSrbe

Eibic

ibBCusRC+ui

微变等效电路交流通路化简放大电路的动态参数计算(1)电压放大倍数的计算+uo

+–

RBRLRSrbe

Eibic

ibBCusRC+ui

当输入的是正弦信号时,各电压和电流都可用相量表示。电压放大倍数开路时有负载时

=(2)输入电阻的计算Ri(3)输出电阻的计算ro

RCRoRC一般为几千欧,因此,这种基本放大电路的输出电阻较高。晶体管的输入电阻rbe比较小,所以基本放大电路的输入电阻不高。+uo

+–

RBRLRSrbe

Eibic

ibBCusRC+ui

图解步骤:(1)根据静态分析方法,求出静态工作点Q。(2)根据ui在输入特性上求uBE和iB。(3)作交流负载线。(4)由输出特性曲线和交流负载线求iC和uCE。2、图解法放大电路非线性失真五静态工作点的稳定固定偏置放大电路

分压偏置放大电路直流通路6.2放大电路分析6.2.2基本共集放大电路一共集电极放大电路的分析○RBRE++uO+UCCRSuSuiRL~1、静态分析2、动态分析RBRE

RLİbİcrbeβibRSUS·~İeUo·Ui·放大倍数Au输入电阻ri输出电阻r06.3集成运算放大电路6.3.1差动放大电路一多级放大电路耦合2、耦合方式:阻容耦合——交流

直接耦合——直流

变压器耦合——交流1、多级电压放大电路组成输入一级中间级末级输出阻容耦合变压器耦合直接耦合多级放大电路二差动放大电路的引入零点漂移现象:当输入信号为0时,其输出电压保持不变(不一定是0),即输出端电压偏离原来值上下波动。产生的原因:温度、电源电压波动、元件参数变化等。当温度变化而引起的半导体器件参数的变化是产生零点漂移的主要原因。抑制方法:零点漂移就是

点的漂移,目前抑制零漂比较有效的实用方法是采用差动放大电路,三差动放大电路差分放大电路原理零点漂移的抑制静态时温度升高升高对称差分放大电路对两管所产生的同向漂移都具有抑制作用

差分放大电路共模输入:两个输入信号电压大小相等,极性相同放大倍数为0差模输入:两个输入信号电压大小相等,极性相反差模输入下,差分放大电路两管集电极之间的输出电压为两管各自输出电压变化量的两倍。比较输入:大小和相对极性是任意的(差分放大)输出电压只与偏差值有关,而不需要反应两个信号本身大小。零点漂移的抑制反应了对共模信号的抑制能力。共模抑制电阻RE对称作用RE对差模信号不起作用,差分放大就是要放大差模信号抑制共模信号。共模抑制比:差模信号放大倍数与共模信号放大倍数比值6.3集成运算放大电路6.3.2互补对称电路集成运放的输出级通常采用互补对称电路;互补对称电路结构对称,采用正、负对称电源,静态时无直流电压输出,故负载可直接接到发射极,实现了直接耦合,在集成电路中得到了广泛的应任意两个同频率正弦量的和或差可用平行四边形法则求。互补对称电路6.3集成运算放大电路6.3.3集成电路简介

集成电路:

把整个电路的各个元件以及相互之间的联接同时制造在一块半导体芯片上,组成一个不可分的整体。

集成电路特点:体积小、外部接线少、功耗低、可靠性高、灵活性高、价格低。

集成电路分类:按其功能的不同,集成电路可分为模拟集成电路和数字集成电路两种。一集成电路简介二集成运放电路简介输出端偏置电路输入级中间级输出级输入端集成运算放大电路基本组成输入级:差动放大电路,减少零点漂移、提高输入阻抗、高抗干扰能力。输出级:射极输出器或互补对称功率放大器,提高带载能力,输出电阻要小,电压稳定。偏置电路:为各级提供稳定、合适的静态工作点。中间级:电压放大级,高电压放大倍数,发射极放大电路或多级电压放大电路。三集成运放图形符号及信号输入方式

集成运放符号反相输入同相输入输出端三种基本输入方式反相输入方式同相输入方式差分输入方式四主要参数2.

输入失调电压UIO使UO=0,输入端施加的补偿电压几毫伏3.

输入失调电流IIOUO=0时,输入级两输入端的静态电流之差。1nA

0.1

A1.

开环差模电压放大倍数A0104

1074.差模输入电阻ri输出电阻

ro几百千欧

几兆欧几十欧

几百欧5.共模抑制比KCMR70~130dB6.

最大差模输入电压Uidmax共模输入Uic过大,K

CMR下降。当Uid过大时,反偏的PN结可能因反压过大而被击穿。LM741为

36V7.最大共模输入电压UicmaxLM741为

16V两输入端间允许加的最大差模输入电压。五集成运放的电压传输特性集成运放的电压传输特性:集成运放的输出电压uO与输入电压ui(u+-u-)之间的关系uO=f(ui)称为集成运放的电压传输特性。uOui+UOM-UOM线性区1)在线性区工作时,输出电压

与两输入端之间的电压

呈线性关系,即:2)在饱和工作区时这时+UOM

和-UOM分别为输出正饱和电压和负饱和电压,其绝对值分别略低于正、负电源电压。饱和区

∞-+uo+ud反馈电路开环系统:不加反馈网络时的电路系统,此时的放大倍数叫开环放大倍数。闭环系统:加上反馈网络时的电路系统,此时的放大倍数叫闭环放大倍数。

∞-+uo+ud六集成运放的理想特性1、理想化条件开环电压放大倍数差模输入电阻开环输出电阻共模抑制比

A0∞ri∞r0∞KCMR∞2、理想运放的电压传输特性ui≠0,|uO|=±UOM即u+≠

u-时,运放处于非线性区。uOui+UOM-UOM3、集成运放线性工作区的特点:+–++–+u+u–uo虚短:集成运放两个输入端之间的电压通常非常接近于零,但不是短路,故简称为“虚短”。

uO=AO(u+-u-)

AO≈∞u+-u-≈uo/AO≈0u+≈u-

当u+=0(接地)u

-≈

u

+≈

0

称此时的反相输入端为“虚地点”。反之,也成立。uOui+UOM-UOM+–++–+u+u–uo虚断:流入集成运放两个输入端的电流通常为零,但又不是断路故简称为“虚断”。∵ri≈∞ I-≈I+≈04、集成运放非线性工作区的特点:+–++–+u+u–uou+

u-时,u0=-UOM

uOui+UOM-UOMu+

u-时,u0=UOM

虚断的条件原则上仍成立,即ii

0虚短的条件原则不成立,即u+≠u-

6.3集成运算放大电路6.3.4集成运放在模拟信号运算方面的应用一比例运算电路1、反相比例运算电路

∞-+uo+uiifi1R1R2Rfi1=ui/R1if=u--uo=-uo/Rf

∵i

-≈i

+=0∴i

1=i

f即ui/R1=-uo/Rf

uo、ui符合比例关系,负号表示输出输入电压变化方向相反。电路中引入深度负反馈,闭环放大倍数Auf与运放的Au无关,仅与R1、Rf有关。R2是平衡电阻同相端与地的等效电阻。其作用是保持输入级电路的对称性,以保持电路的静态平衡。

R2=R1//Rf

当R1=Rf时,uo=-ui,该电路称为反相器。2.同相比例电路

∞-+uo+ifi1uiR2R1Rf∵i+≈0(虚断)∴u

+=ui

u

+≈u

-≈ui(虚短)i1=(0-ui)/R1if=(u--uo)/Rf

=(ui-uo)/Rf

∵i

-≈i

+=0∴i

1=i

f

当Rf=有限值时,R1=∞

∞-+uo+uiR2为平衡电阻

R2=R1//RfAuf=1→电路成为电压跟随器。

uo=ui此电路输入电阻大,输出电阻小。二加法运算电路uo

∞-++ui2ifi2R2RPRfui3i3R3ui1i1R1

在调节某一路信号的输入电阻的阻值时,不影响其它输入电压与输出电压的比例关系,调节方便。

求和电路也可从同相端输入,但同相求和电路的共模输入电压较高,且不如反相求和电路调节方便。三减法运算电路

在集成运放的两个输入端都加上输入信号,就构成了减法运算电路,如图所示。iFR1R2Rfi1uI1R3uI2+–+–++–+uou+u-整理可得当时,和当时,则得

输出电压与输入电压的差值成正比例,从而能进行减法运算。第7章数字电路基础7.1脉冲数字电路的基本概念及二进制数7.1.1数字信号与数字电路7.1.2数制与码制7.2门电路7.2.1基本逻辑门电路7.2.2复合逻辑门电路7.2.3组合逻辑电路的分析与设计7.2.4TTL集成门电路7.3集成触发器7.3.1RS触发器7.3.2边沿JK触发器认识与功能测试7.3.3边沿D触发器认识与功能测试7.1脉冲数字电路的基本概念及二进制数7.1.1数字信号与数字电路电子电路中的信号

模拟信号

随时间连续变化的信号

数字信号

时间和幅度都是离散的

模拟信号:在时间上或数值上是连续的模拟电路:分析信号的幅值、相位、频率的变化和关系等

数字信号:

在时间上和数值上都是离散的

应用于:产品数量统计

数字表盘的读数

数字电路:

只关心输入和输出的逻辑关系数字电路的特点

电路抗干扰能力强,传输可靠;

信息便于长期存储,易于控制精度

集成度高、容易小型化。

通讯技术

数字化测量;

数控化生产;数字电路的应用7.1脉冲数字电路的基本概念及二进制数7.1.1数制与码制1.十进制(1)

表示数的数码有十个:0

~9;

特点:以10为基数,“逢十进一”;

表示方法:10的幂相加一、数制十进制(2)2.二进制

表示数的数码有2个:0和1;

特点:以2为基数,“逢二进一”;

表示方法:10的幂相加3.十-二进制转换

二进制数转换为十进制数:按权展开,逐个相加

十进制数转换为二进制数

整数部分:除2取余,由下而上;小数部分:乘2取整,由上而下二、码制BCD码是用四位二进制码来表示相应的十进制数的一种码制,称二—十进制码。其中常用的8421BCD码是一种有权码,各位的位权分别是:8、4、2、1;8421BCD码与相应十进制数的关系如下表所示:7.2门电路7.2.1基本逻辑门电路“与”门实验电路与逻辑符号图1.与逻辑:“与”逻辑可用下图所示的电路来说明。1.只有开关SA1和SA2都闭和时,灯才会亮。这种灯亮与开关的关系就是“与”逻辑关系。2.可表述为:只有当决定一件事情的所有条件都具备时,事件才能发生。这种因果关系称与逻辑关系,3.可以表示为Y=A·B。

ABY000010100111“与”门真值表基本门电路“或”门实验电路与逻辑符号图2.或逻辑:开关SA1和SA2只要有一个闭和时,灯就会亮。这种灯亮与开关的关系就是“或”逻辑关系。可表述为:当决定一件事情的所有条件中,只要具备一个条件时,这件事就会发生。这种因果关系称或逻辑关系,可以表示为Y=A+B。ABY000011101111“或”门真值表“非”门实验电路与逻辑符号图3.非逻辑:当决定事件的条件具备了,事件没有发生;反而当决定事件的条件不具备时,事件发生了。这种因果关系称非逻辑关系,可以表示为Y=AY0110“非”门真值表7.2门电路7.2.2复合逻辑门电路1.与非门逻辑关系可总结为“见0输出1,全1输出0”,逻辑表达式为

常用的集成芯片74LS00(2输入四与非门)。ABY000011101110“与非”门逻辑符号图“与非”门真值表2.或非门逻辑表达式为

常用的集成芯片74LS02(2输入四或非门)。ABY001010100110“或非”门逻辑符号图“或非”门真值表3.异或门异或门的逻辑表达式为

逻辑关系可描述为:“相异出1,相同为0"集成芯片74LS86(2输入四异或门);ABY000011101110“异或”门逻辑符号图“异或”门真值表4.同或门同或门的逻辑表达式为

逻辑关系可描述为“相同出1,相异为0”

ABY001010100111“同或”门逻辑符号图“同或”门真值表7.2门电路7.2.3组合电路分析与设计

一、逻辑函数的表示方法

1.真值表

2.函数表达式

3.逻辑图

4.卡诺图【例7.1】画出实现函数F=AB+BC+CA的逻辑图。【例7.2】根据真值表写出函数表达式。ABCY000

0001

1010

1011

0100

0101

1110

1111

0

二、逻辑函数的化简方法:公式法和卡诺图1.公式法基本公式

基本定律

最小项的概念与性质

※最小项的定义和编号

2.卡诺图法

n个变量有2n种组合,可对应写出2n个乘积项,这些乘积项均具有下列特点:包含全部变量每个变量在该乘积项中(以原变量或反变量)只出现一次这样的乘积项称为这n个变量的最小项,也称为n变量逻辑函数的最小项。

卡诺图法的性质事例说明如何根据输入变量组合写出相应最小项?

将输入变量取值为1的代以原变量,取值为0的代以反变量,则得相应最小项。

最小项的基本性质

※对任意一最小项,只有一组变量取值使它的值为1,而其余各种变量取值均使其值为0。※不同的最小项,使其值为1的那组变量取值也不同。※对于变量的任一组取值,任意两个最小项的乘积为0。※对于变量的任一组取值,全体最小项的和为1。

④卡诺图法化简方法(1)将n变量的2n个最小项用2n个小方格表示,并且使相邻最小项在几何位置上也相邻且循环相邻,这样排列得到的方格图称为n变量最小项卡诺图,简称为变量卡诺图。④卡诺图法化简方法(2)④卡诺图法化简方法(3)已知最小项如何找相应小方格?例如:

原变量取1,反变量取0。如何写出卡诺图方格对应的最小项?⑤利用卡诺图法化简三人表决逻辑表达式7.2门电路7.2.4TTL集成门电路1.TTL集成门电路认识(1)TTL集成门的类型很多,那么如何识别它们?各类型之间有何异同?如何选用合适的门?(1)各系列

TTL集成门的比较与选用用于民品用于军品

具有完全相同的电路结构和电气性能参数,CT74系列温度范围:0~70OC,CT54系列温度范围:-55~+125OC,因此CT54系列更适合在温度条件恶劣、供电电源变化大的环境中工作。

※按工作温度和电源允许变化范围不同分为CT74系列CT54系列1.TTL集成门电路认识(2)(2)按平均传输延迟时间和平均功耗不同分

CT74系列CT74L系列(即低功耗TTL简称LTTL)

CT74H系列(即高速TTL简称HTTL)CT74S系列(即肖特基TTL简称STTL)

CT74AS系列(即先进肖特基TTL简称ASTTL)

CT74LS系列(即低功耗肖特基TTL简称LSTTL)CT74ALS系列(即先进低功耗肖特基TTL简称LSTTL)

2.TTL集成门电路的使用要点(1)电源电压用+5V,74系列应满足5V

5%。

(2)输出端的连接:

普通TTL门输出端不允许直接并联使用。

三态输出门的输出端可并联使用,但同一时刻只能有一个门工作,其他门输出处于高阻状态。

集电极开路门输出端可并联使用,但公共输出端和电源VCC之间应接负载电阻RL。

输出端不允许直接接电源VCC或直接接地。输出电流应小于产品手册上规定的最大值。

3.多余输入端的处理4.TTL集成OC门电路OC门应用—实现线与两个或多个OC门的输出端直接相连,相当于将这些输出信号相与,称为线与。

5.TTL集成三态门电路※应用(1)构成单向总线(2)构成双向总线6.CMOS集成门电路认识7.3集成触发器7.3.1RS触发器双稳态触发器一种具有记忆功能的逻辑单元电路,能储存一位二进制码。特点:1.有两个稳定状态:

“0”态和“1”态;2.能根据输入信号将触发器的输出状态置成“1”或“0”态。3.具有记忆功能,即:撤销输入信号后,输出的“0”或“1”态能保存下来。该触发器由两个与非门A和B构成。1.基本R-S触发器通常情况下,两个输出端的状态保持相反。

Q=1,Q=0时,称为“1”态。

Q=0,Q=1时,称为“0”态。基本R-S触发器原理图逻辑符号2.工作原理及逻辑功能(触发器被置0)2.工作原理及逻辑功能(触发器被置1)3.触发器基础知识7.3集成触发器7.3.2边沿JK触发器1.边沿触发器的优点

边沿触发器仅在上升沿触发或下降沿触发

激励端的信号在触发信号的前后几个延迟时间内保持不变,便可以稳定地根据特征表工作。

边沿触发器具有较强的抗干扰能力,可靠性高。2.边沿JK触发器边沿JK触发器逻辑电路特征方程(CP下降沿时刻有效)(1)逻辑电路和符号(1)CP=0时,触发器的状态不变。(2)CP由0跳变到1时,触发器状态不变。(3)CP由1跳变到0时,触发器的状态根据J、K端的输入信号确定:

①J=0、K=0时,触发器保持原状态不变。

②J=0、K=1时,触发器置0状态。

③J=1、K=0时,触发器置1状态。

④J=1、K=1时,触发器状态翻转。若原为0状态,则翻转为1状态;若原为1状态,则翻转为0状态。(2)工作原理(3)边沿JK触发器的特点边沿JK触发器的逻辑符号边沿JK触发器的特点①边沿触发,即CP边沿到来时,状态发生翻转。无同步触发器的空翻现象。②功能与同步JK触发器相同。使用方便灵活。③抗干扰能力极强,工作速度很高。曾用符号国际符号(4)边沿JK触发器集成芯片引脚图74LS112芯片引脚图74LS112属于双JK触发器,以CP下降沿触发。⑴能够根据图示学会测试JK触发器功能;⑵根据测试结果,写出特征表(真值表)。7.3集成触发器7.3.3边沿D触发器1边沿D触发器功能测试(1)电路结构、逻辑符号和逻辑功能

D触发器功能表

(2)边沿D触发器波形图试对应输入波形画出下图中

Q端波形(设触发器初始状态为

0)。同步触发器在CP=1期间能发生多次翻转,这种现象称为空翻。CP

=

1,同步

D

触发器次态跟随

D

信号(3)D触发器的特性表、特性方程、驱动表和状态转换图

特性方程同步D触发器状态转换图

Qn+1=D001101010011Qn+1QnDD触发器特性表

00001111D触发器驱动表

010100011011DQn

Qn+1无约束(4)边沿D触发器集成芯片引脚图74LS74芯片引脚图74LS74属于双D触发器,以CP上升沿触发。⑴能够根据图示学会测试D触发器功能;⑵根据测试结果,写出特征表(真值表)。第8章基本数字器件8.1计数器8.1.1计数器的分类8.1.2二进制计数器8.1.3十进制计数器8.2寄存器8.2.1寄存器概述8.2.2数码寄存器8.2.3移位寄存器8.3译码器8.3.1

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论