基于vhdl简易音乐播放器设计说明书.docx_第1页
基于vhdl简易音乐播放器设计说明书.docx_第2页
基于vhdl简易音乐播放器设计说明书.docx_第3页
基于vhdl简易音乐播放器设计说明书.docx_第4页
基于vhdl简易音乐播放器设计说明书.docx_第5页
已阅读5页,还剩31页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

EDA技术实用教程课程设计简易音乐播放器电子12-11206040124简易音乐播放器设计1. MIDI概述MIDI(Musical Instrument Digital Interface)乐器数字接口 ,是20 世纪80 年代初为解决电声乐器之间的通信问题而提出的。MIDI是编曲界最广泛的音乐标准格式,可称为“计算机能理解的乐谱”。它用音符的数字控制信号来记录音乐。一首完整的MIDI音乐只有几十KB大,而能包含数十条音乐轨道。MIDI应用也比较广泛,电视晚会的音乐编导可以用MIDI功能辅助音乐创作,或按MIDI标准生成音乐数据传播媒介,或直接进行乐曲演奏。如果在计算机上装备了高级的MIDI软件库,可将音乐的创作、乐谱的打印、节目编排、音乐的调整、音响的幅度、节奏的速度、各声部之间的协调、混响由MIDI来控制完成。利用MIDI技术将电子合成器、电子节奏机(电子鼓机)和其他电子音源与序列器连接在一起即可演奏模拟出气势雄伟、音色变化万千的音响效果,又可将演奏中的多种按键数据存储起来,极大的改善了音乐演奏的能力和条件。2. 工作原理MIDI 音乐是Windows下的一种合成音乐,由于它通过记谱的方式来记录一段音乐。因此与wave音乐相比,它可以极大的减少存储容量。MIDI 音乐的基本原理为:组成乐曲的每一个音符的频率值(音调)及其持续的时间(音长)是乐曲能连续演奏的两个基本数据,因此只要控制输出到扬声器的激励信号频率的高低和每一个频率信号持续时间,就可以使扬声器发生连续的乐曲。图1 是歌曲生日快乐歌开头一句的简谱。由图1 可知,该乐典涉及:中音5,6 ,7 ;高音1,2,3,4,5 。以此为例下面介绍在本音乐发生器芯片中音乐的形成过程。前两个音符为中音5 ,1/16 音符,它对应的编码为1000 ,所占的脉冲个数为2 ,这样逐个将以上的简谱翻译成代码如下:|1000 1000 1001 1001 1000 1000 |1011 1011 1010 1010 1010 1010|1000 1000 1001 1001 1000 1000 |1100 1100 1011 1011 1011 1011|1000 1000 1111 1111 1101 1101 |1011 1011 1010 1010 1001 1001|1110 1110 1101 1101 1011 1011 |1100 1100 1011 1011 1011 1011|图1 生日快乐歌歌谱在节奏时钟脉冲的控制下,将每个代码所对应的分频系数逐一赋给基频,得到对应的音调,这样连续起来就形成一段美妙的音乐。本设计的关键是要准确地产生音乐中各音符所对应的频率信号,并根据乐曲要求按节拍输出。为了减少系统复杂性,本设计根据可变模值计数器的原理,按照乐曲要求定时改变计数器的预置数,即可产生乐曲所需要的频率信号。芯片原理框图如图2 所示。图2 中节拍控制电路产生节拍定时信号;音符产生电路按节拍要求产生乐曲所需要的音符;预值数产生电路受音符控制,产生该音符频率相对应的预置数,送计数器的置入数据输入端。音符频率发生器根据不同的预置数产生相应的频率信号,从而完成乐曲的演奏功能。图2 播放器原理3. 音乐编码模块此模块包括节拍控制电路和音符产生电路。节拍控制电路以乐曲中最短音符的节拍为基准,产生乐曲所需要的全部节拍。因为乐曲生日快乐歌中最短音符为1/4 节拍,全曲共有48 个1/4 节拍,故节拍控制器至少需要产生48 个有效状态。考虑到一遍演奏完需要间隔时间,所以选定节拍控制计数器CNT1 的计数状态为48 + 4 个,其中间隔时间为4个有效状态。本例要求演奏时能循环进行,因此需要另外设置一个时长计数器,当乐曲演奏完成时,保证能自动从头开始演奏。音符产生电路采用查找表形式.在节拍控制产生电路的节拍信号作用下,按乐曲中音符持续时间的长短输出相应音符名称。以乐曲中前两个音节为例,其音符输出查找表如表1 所示。4. 音调发生模块此模块包括预值数产生电路和频率发生器。预值数产生电路设计采用查找表形式,按照音符的频率要求产生相应的预置数。根据可变模值计数器的设计原理及音符的分频系数,可计算出乐曲生日快乐歌中各音符的预置数如表2 所示。表2 音频的分频和预置数频率发生器由可变模值计数器实现.由于系统要求产出的信号频率较高,因此选用2 MHz 高频脉冲信号作为可变模值计数器的计数脉冲。此片段内各音阶频率及相应的分频如表3 所示。为了减少输出的偶次谐波分量,最后输出到扬声器的波形应为对称方波,考虑到输出信号是脉冲极窄的脉冲式信号,为了有利于驱动扬声器,可以在到达扬声器之前,有一个二分的分频器,或在计数器输出端外加一个D触发器也可以均衡占空比。当然,如果输出到扬声器的信号采用正弦波代替对称方波将会有更好的效果。表3 音符与频率对照表由于最大的分频系数为5102,故采用13 位十二进制计数器已能满足分频要求。在表3 中除给出了分频比以外,还给出了相应于各个音节频率时计数器不同的初始值。对于不同的分频系数,只要加载不同的初始值即可。采用加载初始值而不将分频输出译码反馈,一个常用技巧。表中“0”表示休止符。对于乐曲的休止符。只要将分频系数设为0,即初始值为213-1=8191,此时扬声器将不会发声。5. 顶层文件设计顶层文件采用原理图设计,根据图1所示原理框图,可设计出MIDI 音乐,发生器顶层原理如图3所示。为了方便设计,图3中将节拍控制电路和音符产生电路设计在同一模块中,称为TONE 模块。音调发生器电路称为FPQ模块,彩灯控制电路称为LIGH模块。图3 顶层远离6. 底层文件TONE 模块中的CLK 为4Hz 信号输入端,SEL3 0为音符输出端,其输出用于驱动FPQ 和LIGHT模块。图4为其时序仿真,其中SEL为各个音符的二进制编码。从图4 可以看出,仿真结果和设计要求一致。前两个脉冲SEL输出为1000,即为中音5的二进制编码,第三第四个脉冲下SEL 为1001,对应中音6,依次下去,第五第六个脉冲下SEL为1000,对应中音5,第七第八个脉冲下SEL 为1011,对应高音1,后面四个脉冲下SEL为1010对应中音7。COUNT为节拍控制计数器。图4 音乐编码器仿真FPQ模块中的CLKM为2 MHz输入端,MUS为音调输出端。图5为其时序仿真,在这里只对音符中音5仿真,此时其编码为1000,NUM为其分频系数1276。当CT 计数到1276时,MUS就输出计数脉冲,其效果就是频率784 的信号。图5 音调发生器仿真7. 部分代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity zy4668_music1 isport(clk:in std_logic; huifang: in std_logic; qiege: in std_logic; xuhuan: in std_logic; zanti: in std_logic; xuan: in std_logic_vector(1 downto 0); index:out std_logic_vector(3 downto 0);end zy4668_music1;architecture behav of zy4668_music1 issubtype word is integer range 0 to 15;type memory is array(0 to 565) of word;signal rom:memory;signal clk_4Hz:std_logic;signal clk_cnt:integer range 0 to 249;signal adr:integer range 0 to 600;signal sar:integer range 0 to 600;signal sar1:integer range 0 to 600;beginprocess(clk,zanti)beginif zanti=1 thenif clkevent and clk=1 then if clk_cnt=249 then clk_cnt=0;clk_4Hz=1;elseclk_cnt=clk_cnt+1;clk_4Hz=0;end if;end if;end if;end process;process(clk_4Hz,xuan,zanti,xuhuan,qiege,huifang)beginif zanti=1 thenif xuan=00 and qiege=0 then adr=416;sar=558;sar1=416; elsif xuan=01 and qiege=0 thenadr=0;sar=138;sar1=0;elsif xuan=10 and qiege=0 thenadr=139;sar=279;sar1=139;elsif xuan=11 and qiege=0 thenadr=280;sar=415;sar1=280;else if clk_4hzevent and clk_4hz=1 then if huifang=1 then if xuhuan=1 then if adr=sar then adr=sar1; else adr=adr+1; end if; else if adr=558 then adr=0; else adr415 then sar=558;sar1279 then sar=415;sar1138 then sar=279;sar1=139; else sar=138;sar1=0; end if; end if; else if adr=sar1 then adr=sar1; else adr=adr-1; end if; end if; end if;end if; end if;end process;index=conv_std_logic_vector(rom(adr),4);rom(0)=3;rom(1)=3;rom(2)=3;rom(3)=3;rom(4)=5;rom(5)=5;rom(6)=5;rom(7)=6;rom(8)=8;rom(9)=8;rom(10)=8;rom(11)=9;rom(12)=6;rom(13)=8;rom(14)=5;rom(15)=5;rom(16)=12;rom(17)=12;rom(18)=12;rom(19)=15;rom(20)=13;rom(21)=12;rom(22)=10;rom(23)=12;rom(24)=9;rom(25)=9;rom(26)=9;rom(27)=9;rom(28)=9;rom(29)=9;rom(30)=9;rom(31)=0;rom(32)=9;rom(33)=9;rom(34)=9;rom(35)=10;rom(36)=7;rom(37)=7;rom(38)=6;rom(39)=6;rom(40)=5;rom(41)=5;rom(42)=5;rom(43)=6;rom(44)=8;rom(45)=8;rom(46)=9;rom(47)=9;rom(48)=3;rom(49)=3;rom(50)=8;rom(51)=8;rom(52)=6;rom(53)=5;rom(54)=6;rom(55)=8;rom(56)=5;rom(57)=5;rom(58)=5;rom(59)=5;rom(60)=5;rom(61)=5;rom(62)=5;rom(63)=5;rom(64)=10;rom(65)=10;rom(66)=10;rom(67)=12;rom(68)=7;rom(69)=7;rom(70)=9;rom(71)=9;rom(72)=6;rom(73)=8;rom(74)=5;rom(75)=5;rom(76)=5;rom(77)=5;rom(78)=5;rom(79)=5;rom(80)=3;rom(81)=5;rom(82)=3;rom(83)=3;rom(84)=5;rom(85)=6;rom(86)=7;rom(87)=9;rom(88)=6;rom(89)=6;rom(90)=6;rom(91)=6;rom(92)=6;rom(93)=6;rom(94)=5;rom(95)=6;rom(96)=8;rom(97)=8;rom(98)=8;rom(99)=9;rom(100)=12;rom(101)=12;rom(102)=12;rom(103)=10;rom(104)=9;rom(105)=9;rom(106)=10;rom(107)=9;rom(108)=8;rom(109)=8;rom(110)=6;rom(111)=5;rom(112)=3;rom(113)=3;rom(114)=3;rom(115)=3;rom(116)=8;rom(117)=8;rom(118)=8;rom(119)=8;rom(120)=6;rom(121)=8;rom(122)=6;rom(123)=5;rom(124)=3;rom(125)=5;rom(126)=6;rom(127)=8;rom(128)=5;rom(129)=5;rom(130)=5;rom(131)=5;rom(132)=5;rom(133)=5;rom(134)=5;rom(135)=5;rom(136)=0;rom(137)=0;rom(138)=0;rom(139)=10;rom(140)=10;rom(141)=11;rom(142)=12;rom(143)=12;rom(144)=11;rom(145)=10;rom(146)=9;rom(147)=8;rom(148)=8;rom(149)=9;rom(150)=10;rom(151)=10;rom(152)=9;rom(153)=9;rom(154)=9;rom(155)=10;rom(156)=10;rom(157)=11;rom(158)=12;rom(159)=12;rom(160)=11;rom(161)=10;rom(162)=9;rom(163)=8;rom(164)=8;rom(165)=9;rom(166)=10;rom(167)=9;rom(168)=8;rom(169)=8;rom(170)=8;rom(171)=9;rom(172)=9;rom(173)=10;rom(174)=8;rom(175)=9;rom(176)=10;rom(177)=11;rom(178)=10;rom(179)=8;rom(180)=9;rom(181)=10;rom(182)=11;rom(183)=10;rom(184)=9;rom(185)=8;rom(186)=9;rom(187)=5;rom(188)=10;rom(189)=10;rom(190)=10;rom(191)=11;rom(192)=12;rom(193)=12;rom(194)=11;rom(195)=10;rom(196)=9;rom(197)=8;rom(198)=8;rom(199)=9;rom(200)=10;rom(201)=9;rom(202)=8;rom(203)=8;rom(204)=8;rom(205)=10;rom(206)=10;rom(207)=11;rom(208)=12;rom(209)=12;rom(210)=11;rom(211)=10;rom(212)=9;rom(213)=8;rom(214)=8;rom(215)=9;rom(216)=10;rom(217)=10;rom(218)=9;rom(219)=9;rom(220)=9;rom(221)=10;rom(222)=10;rom(223)=11;rom(224)=12;rom(225)=12;rom(226)=11;rom(227)=10;rom(228)=9;rom(229)=8;rom(230)=8;rom(231)=9;rom(232)=10;rom(233)=9;rom(234)=8;rom(235)=8;rom(236)=8;rom(237)=9;rom(238)=9;rom(239)=10;rom(240)=8;rom(241)=9;rom(242)=10;rom(243)=11;rom(244)=10;rom(245)=8;rom(246)=9;rom(247)=10;rom(248)=11;rom(249)=10;rom(250)=9;rom(251)=8;rom(252)=9;rom(253)=5;rom(254)=10;rom(255)=10;rom(256)=10;rom(257)=11;rom(258)=12;rom(259)=12;rom(260)=11;rom(261)=10;rom(262)=9;rom(263)=8;rom(264)=8;rom(265)=9;rom(266)=10;rom(267)=9;rom(268)=8;rom(269)=8;rom(270)=8;rom(271)=8;rom(272)=8;rom(273)=8;rom(274)=9;rom(275)=10;rom(276)=9;rom(277)=8;rom(278)=8;rom(279)=8;rom(280)=6;rom(281)=6;rom(282)=6;rom(283)=7;rom(284)=8;rom(285)=8;rom(286)=8;rom(287)=6;rom(288)=8;rom(289)=8;rom(290)=7;rom(291)=6;rom(292)=7;rom(293)=7;rom(294)=3;rom(295)=0;rom(296)=7;rom(297)=7;rom(298)=7;rom(299)=8;rom(300)=9;rom(301)=9;rom(302)=9;rom(303)=7;rom(304)=9;rom(305)=9;rom(306)=8;rom(307)=7;rom(308)=6;rom(309)=6;rom(310)=6;rom(311)=6;rom(312)=10;rom(313)=10;rom(314)=13;rom(315)=13;rom(316)=12;rom(317)=12;rom(318)=13;rom(319)=12;rom(320)=11;rom(321)=11;rom(322)=10;rom(323)=9;rom(324)=10;rom(325)=10;rom(326)=6;rom(327)=6;rom(328)=0;rom(329)=11;rom(330)=11;rom(331)=9;rom(332)=10;rom(333)=10;rom(334)=10;rom(335)=8;rom(336)=7;rom(337)=3;rom(338)=8;rom(339)=7;rom(340)=6;rom(341)=6;rom(342)=6;rom(343)=6;rom(344)=0;rom(345)=0;rom(346)=0;rom(347)=0;rom(348)=6;rom(349)=6;rom(350)=6;rom(351)=7;rom(352)=8;rom(353)=8;rom(354)=8;rom(355)=6;rom(356)=8;rom(357)=8;rom(358)=7;rom(359)=6;rom(360)=7;rom(361)=7;rom(362)=3;rom(363)=0;rom(364)=7;rom(365)=7;rom(366)=7;rom(367)=8;rom(368)=9;rom(369)=9;rom(370)=9;rom(371)=7;rom(372)=9;rom(373)=9;rom(374)=8;rom(375)=7;rom(376)=6;rom(377)=6;rom(378)=6;rom(379)=6;rom(380)=10;rom(381)=10;rom(382)=13;rom(383)=13;rom(384)=12;rom(385)=12;rom(386)=13;rom(387)=12;rom(388)=11;rom(389)=11;rom(390)=10;rom(391)=9;rom(392)=10;rom(393)=10;rom(394)=6;rom(395)=6;rom(396)=0;rom(397)=11;rom(398)=11;rom(399)=9;rom(400)=10;rom(401)=10;rom(402)=10;rom(403)=8;rom(404)=7;rom(405)=3;rom(406)=8;rom(407)=7;rom(408)=6;rom(409)=6;rom(410)=6;rom(411)=6;rom(412)=0;rom(413)=0;rom(414)=0;rom(415)=0;rom( 416)=6;rom( 417)=7;rom(418)=8;rom( 419)=8;rom(420) =9;rom( 421)=8;rom( 422)=7;rom( 423)=5;rom( 424)=3;rom( 425)=6;rom(426)=6;rom(427)=6;rom( 428) =6;rom( 429)=6;rom( 430)=7;rom( 431)=8;rom( 432)=8;rom( 433)=9;rom( 434)=8;rom( 435)=7;rom( 436)=5;rom( 437)=3;rom(438)=10;rom( 439)=10;rom( 440)=10;rom(441)=10;rom(442)=10;rom( 443)=10;rom( 444)=13;rom( 445)=13;rom( 446)=13;rom(447)=12;rom( 448)=10;rom(449)=0;rom( 450)=9;rom( 451)=8;rom( 452)=9;rom( 453)=9;rom( 454)=9;rom( 455)=8;rom( 456)=6;rom( 457)=6;rom(458)=0;rom( 459)=6;rom( 460)=7;rom( 461)=8;rom( 462)=8;rom( 463)=9;rom(464)=8;rom( 465)=9;rom(466)=10;rom(467)=5;rom( 468)=6;rom( 469)=6;rom( 470)=6;rom( 471)=6;rom( 472)=15;rom(473)=14;rom(474)=13;rom(475)=13;rom(476)=10;rom(477)=9;rom(478)=10;rom(479)=0;rom(480)=10;rom(481)=13;rom(482)=12;rom(483)=12;rom(484)=12;rom(485)=12;rom(486)=12;rom(487)=10;rom(488)=12;rom(489)=12;rom(490)=15;rom(491)=14;rom(492)=13;rom(493)=13;rom(494)=10;rom(495)=9;rom(496)=8;rom(497)=8;rom(498)=8;rom(499)=8;rom(500)=9;rom(501)=10;rom(502)=10;rom(503)=12;rom(504)=10;rom(505)=9;rom(506)=10;rom(507)=10;rom(508)=15;rom(509)=14;rom(510)=13;rom(511)=13;rom(512)=10;rom(513)=9;rom(514)=10;rom(515)=10;rom(516)=10;rom(517)=13;rom(518)=12;rom(519)=12;rom(520)=12;rom(521)=12;rom(522)=10;rom(523)=12;rom(524)=13;rom(525)=13;rom(526)=6;rom(527)=7;rom(528)=8;rom(529)=8;rom(530)=8;rom(531)=10;rom(532)=9;rom(533)=9;rom(534)=12;rom(535)=14;rom(536)=13;rom(537)=12;rom(538)=13;rom(539)=13;rom(540)=13;rom(541)=13;rom(542)=6;rom(543)=7;rom(544)=8;rom(545)=8;rom(546)=8;rom(547)=10;rom(548)=12;rom(549)=12;rom(550)=12;rom(551)=14;rom(552)=13;rom(553)=12;rom(554)=12;rom(555)=13;rom(556)=13;rom(557)=13;rom(558)=13; end behav;39大学本科生毕业设计(论文)撰写规范本科生毕业设计(论文)是学生在毕业前提交的一份具有一定研究价值和实用价值的学术资料。它既是本科学生开始从事工程设计、科学实验和科学研究的初步尝试,也是学生在教师的指导下,对所进行研究的适当表述,还是学生毕业及学位资格认定的重要依据。毕业论文撰写是本科生培养过程中的基本训练环节之一,应符合国家及各专业部门制定的有关标准,符合汉语语法规范。指导教师应加强指导,严格把关。1、论文结构及要求论文包括题目、中文摘要、外文摘要、目录、正文、参考文献、致谢和附录等几部分。1.1 题目论文题目应恰当、准确地反映论文的主要研究内容。不应超过25字,原则上不得使用标点符号,不设副标题。1.2 摘要与关键词1.2.1 摘要本科生毕业设计(论文)的摘要均要求用中、英两种文字给出,中文在前。摘要应扼要叙述论文的研究目的、研究方法、研究内容和主要结果或结论,文字要精炼,具有一定的独立性和完整性,摘要一般应在300字左右。摘要中不宜使用公式、图表,不标注引用文献编号,避免将摘要写成目录式的内容介绍。1.2.2 关键词关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列35个,按词条的外延层次从大到小排列,应在摘要中出现。1.3 目录目录应独立成页,包括论文中全部章、节的标题及页码。1.4 论文正文论文正文包括绪论、论文主体及结论等部分。1.4.1 绪论绪论一般作为论文的首篇。绪论应说明选题的背景、目的和意义,国内外文献综述以及论文所要研究的主要内容。文管类论文的绪论是毕业论文的开头部分,一般包括说明论文写作的目的与意义,对所研究问题的认识以及提出问题。绪论只是文章的开头,不必写章号。毕业设计(论文)绪论部分字数不多于全部论文字数的1/4。1.4.2 论文主体论文主体是论文的主要部分,要求结构合理,层次清楚,重点突出,文字简练、通顺。论文主体的内容要求参照大学本科生毕业设计(论文)的规定第五章。论文主体各章后应有一节“本章小结”。1.4.3 结论结论作为单独一章排列,但不加章号。结论是对整个论文主要成果的归纳,要突出设计(论文)的创新点,以简练的文字对论文的主要工作进行评价,一般为4001 000字。1.5 参考文献参考文献是论文不可缺少的组成部分,它反映了论文的取材来源和广博程度。论文中要注重引用近期发表的与论文工作直接有关的学术期刊类文献。对理工类论文,参考文献数量一般应在15篇以上,其中学术期刊类文献不少于8篇,外文文献不少于3篇;对文科类、管理类论文,参考文献数量一般为1020篇,其中学术期刊类文献不少于8篇,外文文献不少于3篇。在论文正文中必须有参考文献的编号,参考文献的序号应按在正文中出现的顺序排列。产品说明书、各类标准、各种报纸上刊登的文章及未公开发表的研究报告(著名的内部报告如PB、AD报告及著名大公司的企业技术报告等除外)不宜做为参考文献引用。但对于工程设计类论文,各种标准、规范和手册可作为参考文献。引用网上参考文献时,应注明该文献的准确网页地址,网上参考文献不包含在上述规定的文献数量之内。1.6 致谢对导师和给予指导或协助完成论文工作的组织和个人表示感谢。内容应简洁明了、实事求是,避免俗套。1.7 附录如开题报告、文献综述、外文译文及外文文献复印件、公式的推导、程序流程图、图纸、数据表格等有些不宜放在正文中,但有参考价值的内容可编入论文的附录中。2、论文书写规定2.1 论文正文字数理工类 论文正文字数不少于20 000字。文管类 论文正文字数12 00020 000字。其中汉语言文学专业不少于7 000字。外语类 论文正文字数8 00010 000个外文单词。艺术类 论文正文字数3 0005 000字。2.2 论文书写本科生毕业论文用B5纸计算机排版、编辑与双面打印输出。论文版面设置为:毕业论文B5纸、纵向、为横排、不分栏,上下页边距分别为2.5cm和2cm,左右页边距分别为2.4cm和2cm,对称页边距、左侧装订并装订线为0cm、奇偶页不同、无网格。论文正文满页为29行,每行33个字,字号为小四号宋体,每页版面字数为957个,行间距为固定值20磅。页眉。页眉应居中置于页面上部。单数页眉的文字为“章及标题”;双数页眉的文字为“大学本科生毕业设计(论文)”。页眉的文字用五号宋体,页眉文字下面为2条横线(两条横线的长度与版芯尺寸相同,线粗0.5磅)。页眉、页脚边距分别为1.8cm和1.7cm。页码。页码用小五号字,居中标于页面底部。摘要、目录等文前部分的页码用罗马数字单独编排,正文以后的页码用阿拉伯数字编排。2.3 摘要中文摘要一般为300字左右,外文摘要应与中文摘要内容相同,在语法、用词和书写上应正确无误,摘要页勿需写出论文题目。中、外文摘要应各占一页,编排装订时放置正文前,并且中文在前,外文在后。2.4 目录目录应包括论文中全部章节的标题及页码,含中、外文摘要;正文章、节题目;参考文献;致谢;附录。正文章、节题目(理工类要求编写到第3级标题,即.。文科、管理类可视论文需要进行,编写到23级标题。)2.5 论文正文2.5.1 章节及各章标题论文正文分章、节撰写,每章应另起一页。各章标题要突出重点、简明扼要。字数一般在15字以内,不得使用标点符号。标题中尽量不用英文缩写词,对必须采用者,应使用本行业的通用缩写词。2.5.2 层次层次以少为宜,根据实际需要选择。层次代号格式见表1和表2。表1 理工类论文层次代号及说明层次名称示 例说 明章第1章 章序及章名居中排,章序用阿拉伯数字节1.1 题序顶格书写,与标题间空1字,下面阐述内容另起一段条1.1.1 款1.1.1.1 题序顶格书写,与标题间空1字,下面阐述内容在标题后空1字接排项 (1) 题序空2字书写,以下内容接排,有标题者,阐述内容在标题后空1字 版心左边线 版心右边线表2 文管类论文层次代号及说明章节条款项一、 (一) 1. (1)居中书写空2字书写空2字书写空2字书写空2字书写 版心左边线 版心右边线各层次题序及标题不得置于页面的最后一行(孤行)。2.6 参考文献正文中引用文献标示应置于所引内容最末句的右上角,用小五号字体。所引文献编号用阿拉伯数字置于方括号“ ”中,如“二次铣削1”。当提及的参考文献为文中直接说明时,其序号应该与正文排齐,如“由文献8,1014可知”。经济、管理类论文引用文献,若引用的是原话,要加引号,一般写在段中;若引的不是原文只是原意,文前只需用冒号或逗号,而不用引号。在参考文献之外,若有注释的话,建议采用夹注,即紧接文句,用圆括号标明。不得将引用文献标示置于各级标题处。参考文献书写格式应符合GB77141987文后参考文献著录规则。常用参考文献编写项目和顺序应按文中引用先后次序规定如下:著作图书文献序号作者书名(版次)出版地:出版者,出版年:引用部分起止页 第一版应省略翻译图书文献序号作者书名(版次)译者出版地: 出版者,出版年:引用部分起止页 第一版应省略学术刊物文献序号作者文章名学术刊物名年,卷(期):引用部分起止页学术会议文献序号作者文章名编者名会议名称,会议地址,年份出版地,出版者,出版年:引用部分起止页学位论文类参考文献序号研究生名学位论文题目出版地学校(或研究单位)及学位论文级别答辩年份:引用部分起止页 西文文献中第一个词和每个实词的第一个字母大写,余者小写;俄文文献名第一个词和专有名词的第一个字母大写,余者小写;日文文献中的汉字须用日文汉字,不得用中文汉字、简化汉字代替。文献中的外文字母一律用正体。作者为多人时,一般只列出前3名作者,不同作者姓名间用逗号相隔。外文姓名按国际惯例,将作者名的缩写置前,作者姓置后。学术会议若出版论文集者,可在会议名称后加上“论文集”字样。未出版论文集者省去“出版者”、“出版年”两项。会议地址与出版地相同者省略“出版地”。会议年份与出版年相同者省略“出版年”。学术刊物文献无卷号的可略去此项,直接写“年,(期)”。参考文献序号顶格书写,不加括号与标点,其后空一格写作者名。序号应按文献在论文中的被引用顺序编排。换行时与作者名第一个字对齐。若同一文献中有多处被引用,则要写出相应引用页码,各起止页码间空一格,排列按引用顺序,不按页码顺序。参考文献书写格式示例见附录1。2.7 名词术语科技名词术语及设备、元件的名称,应采用国家标准或部颁标准中规定的术语或名称。标准中未规定的术语要采用行业通用术语或名称。全文名词术语必须统一。一些特殊名词或新名词应在适当位置加以说明或注解。文管类专业技术术语应为常见、常用的名词。采用英语缩写词时,除本行业广泛应用的通用缩写词外,文中第一次出现的缩写词应该用括号注明英文全文。2.8 计量单位物理量计量单位及符号一律采用中华人民共和国法定计量单位(GB310031021993,见附录2),不得使用非法定计量单位及符号。计量单位符号,除用人名命名的单位第一个字母用大写之外,一律用小写字母。非物理单位(如件、台、人、元、次等)可以采用汉字与单位符号混写的方式,如“万tkm”,“t/(人a)”等。文稿叙述中不定数字之后允许用中文计量单位符号,如“几千克至1 000kg”。表达时刻时应采用中文计量单位,如“上午8点45分”,不能写成“8h45min”。计量单位符号一律用正体。2.9 外文字母的正、斜体用法按照GB310031021986及GB71591987的规定使用,即物理量符号、物理常量、变量符号用斜体,计量单位等符号均用正体。2.10 数字按国家语言文字工作委员会等七单位1987年发布的关于出版物上数字用法的规定,除习惯用中文数字表示的以外,一般均采用阿拉伯数字(参照附录3)。2.11 公式原则上居中书写。若公式前有文字(如“解”、“假定”等),文字顶格书写,公式仍居中写。公式末不加标点。公式序号按章编排,如第1章第一个公式序号为“(1-1)”,附录2中的第一个公式为(-1)等。 文中引用公式时,一般用“见式(1-1)”或“由公式(1-1)”。公式中用斜线表示“除”的关系时,若分母部分为乘积应采用括号,以免含糊不清,如a/(bcosx)。通常“乘”的关系在前,如acosx/b而不写(a/b)cosx。2.12 插表表格不加左、右边线。表序一般按章编排,如第1章第一个插表的序号为“表11”等。表序与表名之间空一格,表名中不允许使用标点符号,表名后不加标点。表序与表名置于表上,居中排写(见附录4)。表头设计应简单明了,尽量不用斜线。表头中可采用化学符号或物理量符号。全表如用同一单位,将单位符号移到表头右上角,加圆括号(见附录4中的例2)。表中数据应正确无误,书写清楚。数字空缺的格内加“”字线(占2个数字宽度)。表内文字和数字上、下或左、右相同时,不允许用“”、“同上”之类的写法,可采用通栏处理方式(见附录4中的例2)。表内文字说明不加标点。文管类的插表在表下一般根据需要可增列补充材料、注解、附记、资料来源、某些指标的计算方法等。表内文字说明,起行空一格,转行顶格,句末不加标点。表题用五号字,表内文字及表的说明文字均用五号字,中文用宋体。表格容量较大,必要时表格也可分为两段或多段(这只能发生在转页时),转页分段后的每一续表的表头都应重新排字,重排表头的续表上方右侧应注明(续表)字样。2.13 插图插图应与文字紧密配合,文图相符,技术内容正确。2.13.1 制图标准插图应符合技术制图及相应专业制图的规定。机械工程图:采用第一角投影法,应符合附录5所列有关标准的规定。电气图:图形符号、文字符号等应符合附录6所列有关标准的规定。流程图:符合国家标准。对无规定符号的图形应采用该行业的常用画法。2.13.2 图题及图中说明每个图均应有图题(由图号和图名组成)。图号按章编排,如第1章第一图的图号为“图1-1”等。图题置于图下。有图注或其他说明时应置于图题之上。图名在图号之后空一格排写。引用图应说明出处,在图题右上角加引用文献编号。图中若有分图时,分图号用a)、b)等置于分图之下。图中各部分说明应采用中文(引用的外文图除外)或数字项号,各项文字说明置于图题之上(有分图题者,置于分图题之上)。图题用五号字,图内文字及说明均用五号字,中文用宋体

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论