电子系统设计与实践论文范例.doc_第1页
电子系统设计与实践论文范例.doc_第2页
电子系统设计与实践论文范例.doc_第3页
电子系统设计与实践论文范例.doc_第4页
电子系统设计与实践论文范例.doc_第5页
已阅读5页,还剩18页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

东 北 石 油 大 学 课 程 设 计 2009 年 7 月 10 日 课 程 电子系统设计与实践 题 目 数字电压表设计 院 系 计算机与信息技术学院 专业班级 计算机科学与技术 09-1 班 学生姓名 学生学号 指导教师 东北石油大学课程设计任务书 课程 电子系统设计与实践计 题目 数字电压表设计 专业 计算机科学与技术 姓名 学号 主要内容、基本要求等 一、主要内容: 利用 EL 教学实验箱、微机和 Quartus软件系统,使用 VHDL 语言输入方法 设计数字钟。可以利用层次设计方法和 VHDL 语言,完成硬件设计设计和仿真。 最后在 EL 教学实验箱中实现。 二、基本要求: 1、A/D 转换接口电路的设计,负责对 ADC0809 的控制。 2、编码转换电路设计,负责把从 ADC0809 数据总线中读出的电压转换成 BCD 码。 3、输出七段显示电路的设计,负责将 BCD 码用 7 段显示器显示出来。 三、扩展要求 1. 当测量结束后,蜂鸣器鸣响 10 声。 按照规范写出论文,要求字数在 4000 字以上,并进行答辩。论文内容包括 概述(学习、调研、分析、设计的内容摘要) 、EDA 技术的现状和发展趋势、对 EL 教学实验箱和 Quartus软件的掌握程度、数字钟的设计过程(包括原理图或 程序设计、编译、仿真分析、硬件测试的全过程) ,论文中含有原理图、程序、 仿真波形图及其分析报告。 完成期限 2 周 指导教师 专业负责人 年 月 日 东北石油大学课程设计成绩评价表 课程名称电子系统设计与实践 题目名称数字电压表设计 学生姓名学号 指导教 师姓名 李军职称副教授 序号评价项目指 标(优秀)满分评分 1选题难度 选题难度较高,或者对原题目进行了相当程度 的改进。 10 2 工作量、工作态 度和出勤率 工作量饱满,工作努力,遵守纪律,出勤率高, 工作作风严谨,善于与他人合作。 10 3课程设计质量 按期圆满的完成了规定的任务,方案设计合理, 思考问题全面,系统功能完善。 35 4报告质量 问题论述思路清晰,结构严谨,文理通顺,撰 写规范,图表完备正确。 30 5回答问题 在进行课程设计程序系统检查时,能正确回答 指导教师所提出的问题。 10 6创新 工作中有创新意识,对前人工作有改进或有应 用价值。在进行系统检查时能对创新性进行说 明,并在报告中有相应的论述。 5 总分 评语: 指导教师: 年 月 日 摘 要 Quartus II 是 Altera 公司的综合性 PLD 开发软件,支持原理图、 VHDL、VerilogHDL 以及 AHDL(Altera Hardware Description Language)等多 种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配 置的完整 PLD 设计流程。 Quartus II 可以在 XP、Linux 以及 Unix 上使用,除了可以使用 Tcl 脚本完 成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统 一,功能集中,易学易用等特点。 本文设计主要利用 VHDL 语言在 EDA 平台上设计一个数字电压表,它的显示 位数为 4 位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起 来,就构成了一个整体。 。总的程序由几个各具不同功能的部分组成,其中包括 循环控制 P1、复位和状态转换 P2、编码转换 data、BCD 码加法 do、输出七段显 示 dout。并且使用 Quartus7.2-II 软件进行电路波形仿真,下载到 EDA 实验箱进 行验证。 关键词关键词: EDA(电子设计自动化) ;VHDL(硬件描述语言) ;ADC0809;数字 电压表。 目 录 第 1 章 概 述 .1 1.1 EDA 的概念.1 1.2 VHDL 语言的概念2 1.3 EDA 的开发环境.3 第 2 章 数字电压表的系统分析 4 2.1 设计目的.4 2.2 功能说明.4 2.3 实验原理.4 2.4 系统硬件.4 第 3 章 数字电压表的底层电路设计 5 3.1 设计规划.5 3.2 设计说明.5 3.3 电路程序.5 第 4 章 数字电压表的测试与运行 .13 4.1 数字电压表的编译与仿真13 4.2 数字电压表的适配与测试16 结 论 .17 参考文献 18 东北石油大学本科生电子系统设计与实践 1 第 1 章 概 述 1.1 EDA 的概念 EDA 在通信行业(电信)里的另一个解释是企业数据架构,EDA 给出了一个 企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划 分。 EDA 是电子设计自动化(Electronic Design Automation)的缩写,在 20 世纪 60 年代中期从计算机辅助设计(CAD) 、计算机辅助制造(CAM) 、计算机辅 助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。 20 世纪 90 年代,国际上电子和计算机技术较先进的国家,一直在积极探索 新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了 巨大成功。在电子技术设计领域,可编程逻辑器件(如 CPLD、FPGA)的应用,已 得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可 以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以 如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设 计过程和设计观念,促进了 EDA 技术的迅速发展。 EDA 技术就是以计算机为工具,设计者在 EDA 软件平台上,用硬件描述语言 VHDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优 化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下 载等工作。EDA 技术的出现,极大地提高了电路设计的效率和可操作性,减轻了 设计者的劳动强度。 利用 EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统, 大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计 出 IC 版图或 PCB 版图的整个过程的计算机上自动处理完成。 现在对 EDA 的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、 化工、矿产、生物、医学、军事等各个领域,都有 EDA 的应用。目前 EDA 技术已 在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从 设计、性能测试及特性分析直到飞行模拟,都可能涉及到 EDA 技术。 东北石油大学本科生电子系统设计与实践 2 1.2 VHDL 语言的概念 VHDL 的英文全名是 Very-High-Speed Integrated Circuit Hardware Description Language,诞生于 1982 年。1987 年底,VHDL 被 IEEE 和美国国 防部确认为标准硬件描述语言。 VHDL 主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有 硬件特征的语句外,VHDL 的语言形式和描述风格与句法是十分类似于一般的计算 机高级语言。VHDL 的程序结构特点是将一项工程设计,或称设计实体(可以是一 个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部 (或称不可视部分) ,既涉及实体的内部功能和算法完成部分。在对一个设计实 体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个 实体。这种将设计实体分成内外部分的概念是 VHDL 系统设计的基本点。 VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用 , 它自身必然具 有很多其他硬件描述语言所不具备的优点。归纳起来 ,VHDL 语言主要具有以下 优点: (1) VHDL 语言功能强大 , 设计方式多样 VHDL 语言具有强大的语言结构, 只需采用简单明确的 VHDL 语言程序就可以 描述十分复杂的硬件电路。同时, 它还具有多层次的电路设计描述功能。此外 ,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现, 这是其他 硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样 , 既支持自顶向下的 设计方式, 也支持自底向上的设计方法; 既支持模块化设计方法, 也支持层次化 设计方法。 (2) VHDL 语言具有强大的硬件描述能力 VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路 , 也可以 描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述, 也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟, 这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具 有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的 数据类型,这样便会给硬件描述带来较大的自由度。 (3) VHDL 语言具有很强的移植能力 VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言 描述 , 它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一 个综合器上或者从一个工作平台移植到另一个工作平台上去执行。 (4) VHDL 语言的设计描述与器件无关 东北石油大学本科生电子系统设计与实践 3 采用 VHDL 语言描述硬件电路时, 设计人员并不需要首先考虑选择进行设计 的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化, 而不需 要考虑其他的问题。当硬件电路的设计描述完成以后 ,VHDL 语言允许采用多种 不同的器件结构来实现。 (5) VHDL 语言程序易于共享和复用 VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中 , 设计人员 可以建立各种可再次利用的模块 , 一个大规模的硬件电路的设计不可能从门级 电路开始一步步地进行设计 , 而是一些模块的累加。这些模块可以预先设计或 者使用以前设计中的存档模块, 将这些模块存放在库中 , 就可以在以后的设计 中进行复用。 由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言 , 因此它可以使设计成果在设计人员之间方便地进行交流和共享, 从而减小硬件电 路设计的工作量, 缩短开发周期。 1.3 EDA 的开发环境 EDA 工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、 系统设计辅助软件等三类。 目前进入我国并具有广泛影响的 EDA 软件是系统设计软件辅助类和可编程芯 片辅助设计软件:Protel、Altium Designer、PSPICE、multiSIM10(原 EWB 的最 新版本)、OrCAD、PCAD、LSIIogic、MicroSim、ISE、modelsim、Matlab 等等。 这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路 设计与仿真,同进还可以进行 PCB 自动布局布线,可输出多种网表文件与第三方 软件接口。 东北石油大学本科生电子系统设计与实践 4 第 2 章 数字电压表的系统分析 2.1 设计目的 1.掌握负责对 ADC0809 进行控制的 A/D 转换接口电路的设计。 2.掌握负责把从 ADC0809 数据总线中读出的电压转换成 BCD 码的编码转换电 路的设计。 3.掌握十六进制转十进制的运算方法。 4.理解输出七段显示电路的设计。 2.2 功能说明 1.具有输出模拟电压的功能。 2.具有复位。 2.3 实验原理 在同一 EPLD 芯片 EPF10K10 上集成了如下电路模块: 1.控制 ADC0809 对模拟电压的转换。 2.通过编码设计电路,将 ADC0809 中的电压转换为 BCD 码。 3.通过对 7 段显示电路的设计,将读出的电压通过 7 段显示器显示出来。 2.4 系统硬件 1EPF10K10LC84-4 适配器。 2. 可变直流电平输出电路。 3. ADC0809。 4. 7 段显示器。 东北石油大学本科生电子系统设计与实践 5 第 3 章 数字电压表的底层电路设计 3.1 设计规划 数字电压表因为只实现一个功能,附带一个重置的控制功能。因此可以将数 字电压表设计分为以下模块: 1.控制信号模块:利用状态机来实现控制器对 ADC0809 进行模/数信号的转 换的控制。 2.电压转换 BCD 码模块:找出模拟输入电压与输出电压的对应关系,设计一 个 12 位的 BCD 码加法器。 3.电压显示模块:对转换后的电压数进行显示,将数字分为 7 个部分,另外 还有小数点,通过 vhdl 程序对进行相应电压数的转换。 3.2 设计说明 首先从整体分析数字电压表,然后对其进行细化,将其大致分为三大模块, 然后专攻一个部分,再将其融合在一起。 3.3 电路程序 3 3. .3 3. .1 1 实实体体部部分分(V VH HD DL L 语语言言编编译译) 其代码如下:(VHDL 语言): library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity voltmeter is port (D: in std_logic_vector(7 downto 0); CLK,INT,RESET: in std_logic; CS,RD,WR: out std_logic; 东北石油大学本科生电子系统设计与实践 6 DATOUT: out std_logic_vector(11 downto 0) ); end voltmeter; architecture doit of voltmeter is signal datain : std_logic_vector(7 downto 0); signal data1,data2 : std_logic_vector(15 downto 0); signal dout1,dout2,dout3,dout4 : std_logic_vector(11 downto 0); signal do1,do2,do3,do4,c1,c2,c3,doo1,doo2,doo3,doo4 :std_logic_vector(4 downto 0); type statetype is(idle,write,swait,read,disp1,disp2,disp3,disp4); signal present_state,next_state: statetype; 这段 VHDL 语言声明实体 voltmeter 并定义其信号。 3 3. .3 3. .2 2 产产生生控控制制信信号号 对于 ADC0809 芯片的各种介绍请参阅其数据手册。芯片 ADC0809 的控制时序 图如图 3-3 所示。实验仪器中 ADC0809 接口电路原理图如图 3-1 所示。 图 3-1 ADC0809 接口电路原理图 由图 3-1 和图 3-2 可知,当 CS 和 WR 同时为高电平时,ADC0809 开始转换, 当转换完成后,在 INT 脚输出高电平,等待读数据;当 CS 和 RD 同时为电平时, 通过数据总线 D70从 ADC0809 是读出数据,如图 51-2 所示。 东北石油大学本科生电子系统设计与实践 7 图 3-2 控制器控制信号时序图 从图 3-2 我们可以将整个控制器分成 4 个步骤状态:S0、S1、S2、S3,第个 状态的动作方式如下: 状态 S0:CS=1、WR=1、RD=0(由控制器发出信号要求 ADC0809 开始进行模/ 数信号的转换) 。 状态 S1:CS=0、WR=0、RD=0(ADC0809 进行转换动作,转换完毕后 INT 将低 电位升至高电位) 。 状态 S2:CS=1、WR=0、RD=1(由控制器发出信号以读取 ADC0809 的转换资料) 。 状态 S3:CS=0、WR=0、RD=0(由控制器读取数据总线上的数字转换资料) 。 由上述的四个状态可以归纳出整个控制器的动作功能有: 负责在每个步骤送出所需的 CS、WR、RD 控制信号。 在状态 S1 时,监控 INT 信号是否由低变高,如此以便了解转换动作结束与 否。 在状态 S3,读取转换的数字资料。 其代码如下:(VHDL 语言): P1: process(present_state,next_state,INT) begin case present_state is when idle = CS CS CS CS CS CS CS CS=0; WR=0; RD=0; DATOUT=dout4; next_state=write; end case; end process P1; 这段代码实现了状态 S1,S2,S3 的控制。 3 3. .3 3. .3 3 B BC CD D 码码表表示示数数字字电电压压信信号号(V VH HD DL L 语语言言) 计算转换后的数字电压信号,最终以 BCD 码表示。 当参考电压(Vref)为 2.56V 时,模拟输入电压与输出电压的对应关系如表 3-1 所示。 表 3-1 模拟输入电压与输出电压的 进制参考电压 162 高 4 位电压 低 4 位电 压 000000.000.00 100010.320.02 200100.640.04 300110.960.06 401001.280.08 501011.600.10 601101.920.12 701112.240.14 东北石油大学本科生电子系统设计与实践 9 810002.560.16 910012.880.18 A10103.200.20 B10113.520.22 C11003.840.24 D11014.160.26 E11104.480.28 F11114.800.30 这样由 ADC0809 收到的信号是 01110110(76H) ,则对照表 52-1 时,高 4 位 0111 的电压为 2.24V,而低 4 位 0110 是 0.12V,所以最后的电压输出结果为 2.24+0.12=2.36V。 对于数据转换成 BCD 码,我们必须设计一个 12 位的 BCD 码加法器,如上述 的 2.24V 的二进制表示为:001000100100、0.12V 是 000000010010,所以其相加 结果为 001000110110,为 2.36V。 其代码如下:(VHDL 语言): P2: process(CLK, RESET) begin if(RESET=0) then present_state=idle; datain=“00000000“; elsif(CLKevent and CLK=1) then present_state=next_state; if(present_state=read) then datain=D; end if; end if; end process P2; data1=“0000000000000000“ when datain(7 downto 4)=“0000“ else “0000001100010011“ when datain(7 downto 4)=“0001“ else “0000011000100101“ when datain(7 downto 4)=“0010“ else “0000100100111000“ when datain(7 downto 4)=“0011“ else “0001001001010000“ when datain(7 downto 4)=“0100“ else “0001010101100011“ when datain(7 downto 4)=“0101“ else 东北石油大学本科生电子系统设计与实践 10 “0001100001110101“ when datain(7 downto 4)=“0110“ else “0010000110001000“ when datain(7 downto 4)=“0111“ else “0010010100000000“ when datain(7 downto 4)=“1000“ else “0010100000010011“ when datain(7 downto 4)=“1001“ else “0011000100100101“ when datain(7 downto 4)=“1010“ else “0011010000111000“ when datain(7 downto 4)=“1011“ else “0011011101010000“ when datain(7 downto 4)=“1100“ else “0100000001100011“ when datain(7 downto 4)=“1101“ else “0100001101110101“ when datain(7 downto 4)=“1110“ else “0100011010001000“ when datain(7 downto 4)=“1111“ else “0000000000000000“; data2=“0000000000000000“ when datain(3 downto 0)=“0000“ else “0000000000100000“ when datain(3 downto 0)=“0001“ else “0000000000111001“ when datain(3 downto 0)=“0010“ else “0000000001011001“ when datain(3 downto 0)=“0011“ else “0000000001111000“ when datain(3 downto 0)=“0100“ else “0000000010011000“ when datain(3 downto 0)=“0101“ else “0000000100010111“ when datain(3 downto 0)=“0110“ else “0000000100110111“ when datain(3 downto 0)=“0111“ else “0000000101010110“ when datain(3 downto 0)=“1000“ else “0000000101110110“ when datain(3 downto 0)=“1001“ else “0000000110010101“ when datain(3 downto 0)=“1010“ else “0000001000010101“ when datain(3 downto 0)=“1011“ else “0000001000110100“ when datain(3 downto 0)=“1100“ else “0000001001010100“ when datain(3 downto 0)=“1101“ else “0000001001110011“ when datain(3 downto 0)=“1110“ else “0000001010010011“ when datain(3 downto 0)=“1111“ else “0000000000000000“; 3 3. .3 3. .4 4 B BC CD D 码码进进位位(V VH HD DL L 语语言言) 在读取到转换数据后,先用查表的指令算出高、低 4 位的两个电压值,并分 别用 12 位的 BCD 码表示。接着设计 12 位的 BCD 码加法。相加从最低 4 位开始, 且每 4 位相加结果超过 10 时需作进位动作。 东北石油大学本科生电子系统设计与实践 11 其代码如下(VHDL 语言): do1=(0 c1=“00000“ when do1“01010“ else “00001“; do2=(0 c2=“00000“ when do2“01010“ else “00001“; do3=(0 c3=“00000“ when do3“01010“ else “00001“; do4=(0 doo1=do1 when do1“01010“ else do1-“01010“; doo2=do2 when do2“01010“ else do2-“01010“; doo3=do3 when do3“01010“ else do3-“01010“; doo4=do4 when do4“01010“ else do4-“01010“; 3 3. .3 3. .5 5 7 7 段段显显示示器器显显示示B BC CD D 码码(V VH HD DL L 语语言言编编译译) 其代码如下:(VHDL 语言): dout1=“111111000100“ when doo1=“0000“ else -a,b,c,d,e,f,g,dp,sel3,sel2,sel1,sel0; “011000000100“ when doo1=“0001“ else “110110100100“ when doo1=“0010“ else “111100100100“ when doo1=“0011“ else “011001100100“ when doo1=“0100“ else “101101100100“ when doo1=“0101“ else “101111100100“ when doo1=“0110“ else “111000000100“ when doo1=“0111“ else “111111100100“ when doo1=“1000“ else “111101100100“ when doo1=“1001“ else “000000001111“; dout2=“111111000101“ when doo2=“0000“ else -a,b,c,d,e,f,g,dp,sel3,sel2,sel1,sel0; 东北石油大学本科生电子系统设计与实践 12 “011000000101“ when doo2=“0001“ else “110110100101“ when doo2=“0010“ else “111100100101“ when doo2=“0011“ else “011001100101“ when doo2=“0100“ else “101101100101“ when doo2=“0101“ else “101111100101“ when doo2=“0110“ else “111000000101“ when doo2=“0111“ else “111111100101“ when doo2=“1000“ else “111101100101“ when doo2=“1001“ else “000000001111“; dout3=“111111000110“ when doo3=“0000“ else -a,b,c,d,e,f,g,dp,sel3,sel2,sel1,sel0; “011000000110“ when doo3=“0001“ else “110110100110“ when doo3=“0010“ else “111100100110“ when doo3=“0011“ else “011001100110“ when doo3=“0100“ else “101101100110“ when doo3=“0101“ else “101111100110“ when doo3=“0110“ else “111000000110“ when doo3=“0111“ else “111111100110“ when doo3=“1000“ else “111101100110“ when doo3=“1001“ else “000000001111“; dout4=“111111010111“whendoo4=“0000“else - a,b,c,d,e,f,g,dp,sel3,sel2,sel1,sel0; “011000010111“ when doo4=“0001“ else “110110110111“ when doo4=“0010“ else “111100110111“ when doo4=“0011“ else “011001110111“ when doo4=“0100“ else “101101110111“ when doo4=“0101“ else “101111110111“ when doo4=“0110“ else “111000010111“ when doo4=“0111“ else “111111110111“ when doo4=“1000“ else “111101110111“ when doo4=“1001“ else “000000001111“; end doit; 东北石油大学本科生电子系统设计与实践 13 以上代码实现了 BCD 码的加法。 第 4 章 数字电压表的测试与运行 4.1 数字电压表的编译与仿真 4 4. .1 1. .1 1 数数字字电电压压表表的的编编译译 数字电压表编译完成后,所显示的设计器件,引脚利用率,文件名,工程名 等。 图 4-1 综合完成 东北石油大学本科生电子系统设计与实践 14 4 4. .1 1. .2 2 数数字字电电压压表表的的逻逻辑辑电电路路图图 图 4-2 RTL Viewer 通过 RTL Viewer 我们可以清楚的看到电路的内部构造 4 4. .1 1. .3 3 数数字字电电压压表表的的功功能能仿仿真真 仿真前对各个引脚进行周期设置。通过对电压表的功能仿真,让我们清楚的 东北石油大学本科生电

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论