500kW短波发射机频率合成器(RH040型)硬件设计及实现.doc_第1页
500kW短波发射机频率合成器(RH040型)硬件设计及实现.doc_第2页
500kW短波发射机频率合成器(RH040型)硬件设计及实现.doc_第3页
500kW短波发射机频率合成器(RH040型)硬件设计及实现.doc_第4页
500kW短波发射机频率合成器(RH040型)硬件设计及实现.doc_第5页
已阅读5页,还剩5页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

500kW短波发射机频率合成器(RH040型)硬件设计及实现 500kW短波发射机频率合成器(RH040型) 硬件设计及实现 李毅 (国家新闻出版广电总局五九四台,陕西咸阳712000) 【摘要】RH040型频率合成器采用了直接数字频率合成技术。它是采用数字化技术,通过控制相位的变化速度,直接产生各种不同频率信号的一种频率合成方法。DDS在相对带宽,频率转换时间,高分辨力,相位连续性,正交输出以及集成化等一系列性能指标方面远远超过了传统频率合成技术所能达到的水平,为系统提供了优于模拟信号源的性能。 关键词频率合成器;dds;短波发射机 【Abstract】RH040typefrequencysynthesizerusethedirectdigitalfrequencysynthesistechnology,itusesdigitaltechnologydirectlytoproducevariousfrequencysignalofafrequencysynthesismethod,bycontrollingtherateofphase.DDShasgonefarbeyondthelevelofthetraditionalfrequencysynthesistechnologybeachieved,inaseriesofperformanceindicators,whichincludestherelativebandwidth、thefrequencyconversiontime、thehighresolution、thephasecontinuity、orthogonaloutputandintegration.PerformanceofDDStosystemisbetterthanthatofanalogsignalsource. 【Keywords】Frequencysynthesizer;DDS;Shortwavetransmitter 1设计原理 频率合成是指从一个高稳定的参考频率,经过各种技术处理,生成一系列稳定的频率输出。现在应用最广的是锁相环(PLL)频率合成技术,它是通过变化PLL中的分频比N来实现输出频率的跳频的,但无法避免缩短环路锁定时间与提高频率分辨率的矛盾,因此很难同时满足高速和高精确度的要求。直接数字式频率合成(DDS)是近年发展起来的一种新的频率合成技术。它将先进的数据处理理论与方法引入频率合成领域,是继直接频率合成(DS)和间接频率合成(IS)之后的第三代频率合成技术。DDS的优点是:相对带宽很宽,频率转换时间极短(ns级),频率分辨率很高(可达Hz),全数字化结构便于集成,输出相位连续,频率、相位和幅度均可实现程控。因此能够与计算机紧密结合在一起,充分发挥软件的作用。在实际应用中,可以采用单片机来代替计算机对DDS芯片进行控制,实现合成频率的输出。因此在很短的时间内,DDS得到了飞速的发展和广泛的应用。 1.1DDS的基本原理 DDS技术是一种把一系列数字量形式的信号通过DAC转换成模拟量形式的信号的合成技术。正弦输出的DDS的原理框图如图1所示。相位累加器在N位频率控制字FCW的控制下,以参考时钟频率fc为采样率,产生待合成信号相位的数字线性序列。将其高P位作为地址码,通过查询正弦表ROM,产生S位对应信号波形的数字序列S(n),再由数/模转换器(DAC)将其转化为阶梯模拟电压波形S(t),最后由低通滤波器LPF平滑为正弦波输出。 频率控制字FCW和时钟频率fc共同决定了DDS输出信号的频率f0它们之间的关系满足: f0=(FCW/2N)fc 在DDS结构及fc确定的前提下,通过FCW的控制就可以方便地控制输出频率f0。其频率分辨率为: fmin=fc/2N 按照奈奎斯特采样定理可知,最高输出频率可达0.5fc。但考虑到实际低通滤波器的限制,最高输出频率一般为0.4fc。 DAC非线性作用的存在,使得查表所得的幅度序列从DAC的输入到输出要经过一个非线性过程。于就会产生输出信号f0的谐波分量。又因为DDS是一个采样系统,所以这些谐波会以fc为周期搬移: f=fcvf0 其中,、v为任意整数。它们落到Nyquist带宽内就形成了有害的杂散频率,频率的位置可以确定,但幅度难以确定。所以在工程设计过程中要充分考虑输出频带,注意避免上述杂散分量落入其中,以此来获得较好的杂散指标。我们可以从时钟频率和所需输出的频率计算得到DDS的需要设定的控制频率字: FCW=fo2N/fc 1.2DDS特点 频率转换时间短; 分辨率高; 频率变换时相位连续; 非常小的相位噪声。其相位噪声由参考时钟的纯度决定; 输出频带宽,一般输出频率约为参考时钟频率40%以内; 具有很强的调制功能。 1.3原理框图 频率合成器由信号处理模块和电源模块组成,其组成原理框图如图2所示: 2元器件选用 DDS的诸多优点使它得到了非常广泛的应用,现在国外已经有非常成熟的DDS芯片。AD9954其采样频率达到每秒400兆次(MSPS),能输出理想的频率范围为DC200MHz模拟正弦波,无杂散动态范围(SFDR)达到80dBc,相位噪声优于-120dBc/Hz。其指标完全满足频率合成器的技术要求,所以在频率合成器系统中最终采用了AD9954芯片。下面就RH040型频率合成器的应用设计做一简要介绍。 2.1AD9954简介 AD9954是采用先进的DDS技术开发的高集成度DDS器件。它内置高速、高性能D/A转换器及超高速比较器,可用为数字编程控制的频率合成器,能产生200MHz的模拟正弦波。AD9954内含102432静态RAM,利用该RAM可实现高速调制,并支持几种扫频模式。AD9954可提供自定义的线性扫频操作模式,通过AD9954的串行I/O口输入控制字可实现快速变频且具有良好的频率分辨率。其应用范围包括灵敏频率合成器、可编程时钟发生器、雷达和扫描系统的FM调制源以及测试和测量装置等。 AD9954的内部结构主要特性:1.内置400MSPS时钟;2.内含14位DAC;3.相位、幅度可编程;4.有32位频率转换字;5.可用串行I/O控制;6.内置超高速模拟比较器;7.可自动线性和非线性扫频;8.内部集成有102432位RAM;9.采用1.8V电源供电;10.可420倍倍频;11.支持大多数数字输入中的5V输入电平;12.可实现多片同步。 2.2检波部分 AD8362的主要元件是一个可变增益放大器(VGA),它包含电压控制衰减器、固定增益放大器、低动态范围均方根直流转换器和误差放大器。输入信号施加于VGA。VGA的输出施加于低动态范围均方根直流转换器。此检波器的输出与VGA输出信号的均方根电压成比例。固定参考电压也称为目标电压,施加于完全相同的另一个低动态范围均方根直流转换器。两个检波器的输出施加于误差放大器/积分器,以产生误差信号。误差放大器的输出施加于VGA的增益控制输入端。VGA的增益控制传递函数是负向的,即增大电压将减小增益。将小输入信号施加于该电路时,信号路径检波器的输出电压将很小,导致驱动VGA的误差信号越来越小。此误差信号将继续减小,而VGA增益则不断增大,直到信号链检波器的输出与参考检波器的输出相等。同样,大输入信号会产生越来越大的误差信号,导致VGA的增益减小,直到信号路径检波器的输出电压与参考检波器的输出电压相等。无论是何种情况,当系统达到均衡时,均方根直流转换器的输入电压均会建立在相同的值。因此,低动态范围均方根直流转换器只需非常小的工作范围便可使该电路工作。 2.3C8051F020控制芯片简介 2.3.1C8051F020的功能 C8051F020是Cygnal出的一种混合信号系统级单片机(片上系统SOC),片内含CIP51的CPU内核,它的指令系统与MCS51完全兼容;其中的C8051F020单片机含有64kB片内Flash程序存储器、4352B(256B+4KB)的RAM;8个IO端口共64根IO口线大量减少了外部连线和器件扩展;一个12位AD转换器和一个8位AD转换器以及一个双12位DA转换器;2个比较器、5个16位通用定时器、5个捕捉比较模块的可编程计数定时器阵列、看门狗定时器、VDD监视器和温度传感器等部分;C8051F020单片机支持双时钟,其工作电压范围为2.73.6V(端口I/O,RST和JTAG引脚的耐压为5V)。 2.3.2运行速度 C8051F020的指令运行速度是一般80C51系列单片机的10倍以上。因为其CIP-51中采用了流水线处理结构,已经没有了机器周期时序,指令执行的最小时序单位为系统时钟,大部分指令只要12个系统周期即可完成。又由于其时钟系统比80C51的更加完善,有多个时钟源,且时钟源可编程,时钟频率范围为025MHz,当CIP-5l工作在最大系统时钟频率25MHz时,它的峰值速度可以达到25MIs,C8051F020已进入了8位高速单片机行列。 2.3.3IO端口的配置方式 C8051F020拥有8个8位的IO端口,大量减少了外部连线和器件扩展,有利于提高可靠性和抗干扰能力。其中低4个IO端口除可作为一般的通用IO端口外,还可作为其他功能模块的输入或输出引脚,它是通过交叉开关配置寄存器XBR0、XBR1、XBR2(各位名称及格式如表1所示)选择并控制的,它们控制优先权译码选择开关电路,可将片内的计数器定时器、串行总线、硬件中断、比较器输出及其它的数字信号配置为在端口IO引脚出现,这样用户可以根据自己的特定需要选择所需的数字资源和通用IO口。数字交叉开关是一个比较大的数字开关网路,这在所有80C51系列单片机上是一个空白。另外P1MDIN用于选择P1的输入方式是模拟输入还是数字输入,复位值为11111111B,即默认为数字输入方式。而80C51单片机的IO引脚是固定分配的,即占用引脚多,配置又不够灵活。 2.3.4交叉开关 C8051F020通过优先权交叉开关译码器控制数字开关网路,端口引脚的分配顺序是从P0.0开始一直到P3.7。当交叉开关配置寄存器XBR0、XBR1和XBR2中外设的对应使能位被设置为逻辑“1”时,交叉开关将端口引脚分配给外设,如果UARTOEN位(XBR0.2)被设置为逻辑“1”,则TX0和RX0引脚将分别被分配到P0.0和P0.1。因为UART0有最高优先权,所以当UARTOEN位被设置为逻辑“1”时其引脚将总是被分配到P0.0和P0.1。未被设置的交叉开关分配端口可作为通用IO口。另外,由于C8051F020的专用寄存器比一般51单片机多,而8051指令不能识别它增加的专用寄存器,公司提供了所有的专用寄存器及相应位的地址定义文件,用户只需加一条include(C8051F020.inc)指令即可。 3电路设计 3.1控制电路 C8051F020能提供多种总线接口方式。其中的SPI0串行外设总线接口可提供访问一个4线、全双工串行总线的能力。SPI0支持在同一总线上将多个从器件连接到一个主器件。SPI0可任意工作在主方式或从方式。这里,我们需要把C8051F020配置为主器件、AD9954配置为从器件。当SPI0被配置为主器件时,最大数据传输率(位/秒)是系统时钟频率的二分之一。而在主器件只想发送数据到从器件而不需要接收从器件发出的数据(即半双工操作)这一特殊情况下,SPI从器件接收数据时的最大数据速率(位/秒)是系统时钟频率的四分之一。这是在假设由主器件与系统时钟同步发出SCK、NSS和串行输入数据的情况下。 3.2时钟电路 由DDS的原理可知,整个DDS系统在一个统一的时钟信号即采样时钟下工作。该时钟的质量直接决定了最终输出波形频率的精度及稳定度,所以一定要有一个稳定的时钟源,如图4所示。 3.3AD9954电路部分 AD9954为电流输出型芯片,自带滤波功能,故其外围电路主要为数据控制线的引出和电流转换为电压输出电路,AD9954的外围电路如图5所示。 3.4全频段幅度平坦度设计 在实际应用中,当频率在2-30MHz之间变化时,DDS的输出幅度会有2dBm左右的误差。为了避免这个误差,使高、低频段的输出有一个较好的平坦度,需要设计一个从信号输出到幅度控制码字的反馈电路。将输出信号的幅度信息通过检波器检波,经过单片机采样后和该频率的标称幅度码字作比较,得出一个相对接近标称码字的幅度码。 4软件设计 本系统的主要部分是信号处理模块。由C8051CPU芯片控制整个系统的执行,其主要作用有: 通过自身的RX/TX通过芯片转换后与外部设备422口指令互通; 接收键盘指令,并执行; 接收并口参数,并执行; 为DDS芯片提供产生频率所需的控制、频率和幅度码字; 控制显示屏的状态和参数显示; 提供所需衰减值控制; 采样检波电路,重调DDS输出信号幅度,使其逐渐逼近要求值; 将每次关机前的状态记录于单片机非易失性存储器中,并于下次开机时提供信号参数供DD

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论