微机原理及应用第三课后答案晏寄夫著西南交通大学出社.pdf_第1页
微机原理及应用第三课后答案晏寄夫著西南交通大学出社.pdf_第2页
微机原理及应用第三课后答案晏寄夫著西南交通大学出社.pdf_第3页
微机原理及应用第三课后答案晏寄夫著西南交通大学出社.pdf_第4页
微机原理及应用第三课后答案晏寄夫著西南交通大学出社.pdf_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

微机原理及应用第一章习题答案 习题与思考题 1.1、在计算机中为什么都采用二进制数而不采用十进制数?二进制数有哪两种缩写形式? 解二进制数只有两个状态,而十进制数有十个状态, 有八进制和十六进制两种缩写形式:xxxxQ,xxxxH。 1.2、将下列十进制数转换为二进制数:50, 0.83, 24.31, 79.75, 199, 73.25 解5000110010B;0.830.1101010001B; 24.3111000.01001111B 79.7501001111.11B; 19911000111B; 73.251001001.01B 1.3、将下列十进制数转换为八进制和十六进制数:39,99.735,54.625,127,119 解3947Q27H; 99.735123.5702Q63.BC28H; 54.62566.5Q36.AH 127177Q7FH; 119167Q77H 1.4、将下列二进制数转换为十进制数: 11 1101.101B, 10 0101.11B, 1001 1001.001B, 110 0110.011B 1101 1010.1101B 解11 1101.101B61.625; 10 0101.11B37.75; 1001 1001.001B153.125 110 0110.011B102.375; 1101 1010.1101B218.8125 1.5、完成下列转换: (1)10 110.10 111B 转换为十六进制数; (2)34.97H 转换为八进制数和十进制数; (3)0BA.7FH 转换为二进制数和八进制数; (4)43.27Q 转换为二进制数和十六进制数; 解(1)10 110.10 111B16.B8H; (2)34.97H64.456Q52.59 (3)0BA.7FH10111010.01111111B272.376Q (4)43.27Q100011.010111B23.5CH 1.6、设机器字长为 8 位,写出下列用真值表示的二进制数的原码、补码和反码: 0010101, 1111111, 1000000, 0010101, 1111111, 1000000 解0010101 的原码、补码和反码均为 00010101B; 1111111 的原码、补码和反码均为 01111111B; 1000000 的原码、补码和反码均为 01000000B; 0010101 的原码为 10010101B, 补码为 11101011B, 反码为 11101010B; 1111111 的原码为 11111111B, 补码为 10000001B, 反码为 10000000B; 1000000 的原码为 11000000B, 补码为 11000000B, 反码为 10111111B。 1.7、设机器字长为 8 位,最高位为符号位,用二进制补码运算法则对下列各式进行运算: (1) 177; (2)818; (3)9(7);(4)266; (5)818; (6)19(17);(7)256; (8)8715 解(1) 177(2)818(3)9(7) 17补 00010001B 8补 00001000B 9补 00001001B +) 7补00001111B+) 18补 00010010B+) -7补 11111001B 00011000B2400011010B2600000010B2 若侵犯 了您的版 权利益,敬请来信通知我们! (4) -266(5)818(6)19(17) -26补 11100110B 8补 00001000B 19补 00010011B +) 6补00000110B+) -18补 11101110B+) -(-17)补 00010001B 11101100B-20补11110110B-10补00100100B36 (7)256;(8)8715 -25补 11100111B 87补 01010111B +)-6补11111010B+) -15补11110001B 111100001B-31补101001000B72 1.8、已知下列各数均为二进制补码: a=0011 0010B; b=0100 1010B; c=1110 1001B; d=1011 1010B。 试求: (1)ab; (2) ac; (3) cb; (4) cd; (5) ab; (6) ca; (7) dc; (8) adc; (9) bcd; (10) dca 解(1)ab=0011 0010B + 0100 1010B=01111100B (2)ac=0011 0010B + 1110 1001B=00011011B (3)cb=1110 1001B + 0100 1010B=00110011B (4)cd=1110 1001B + 1011 1010B=10100011B (5)ab=a+-b补=0011 0010B + 10110110B=11101000B (6)ca=c+-a补=1110 1001B + 11001110B=10110111B (7)dc=d+-c补=1011 1010B + 00010111B=11010001B (8)adc=a+d+-c补=0011 0010B + 1011 1010B + 00010111B=00000011B (9)bcd=b+c+-d补=0100 1010B + 1110 1001B + 01000110B=11111010B (10)dca=d+-c补+-a补=1011 1010B + 00010111B + 11001110B=10011111B 1.9、设机器字长为 8 位,最高位为符号位,用双高位法判别下述各二进制运算是否产生溢出,并说明是正溢 出还是负溢出。 (1) 438; (2)527; (3)5084; (4)728; (5) (33)(47); (6) (90)(75);(7)12760 解(1) 438(2)527(3)5084 43补 00101011B-52补11001100B50补00110010B +) 8补00001000B+) 7补 00000111B+) 84补 01010100B 00110011B11010011B10000110B Cs=0,Cp=0Cs=0,Cp=0Cs=0,Cp=1 V=CsCp=0V=CsCp=0V=CsCp=1 无溢出无溢出有溢出,正溢出 (4) 72-8(5)33(-47)(6)(-90)(-75) 72补 01001000B-33补 11001100B-90补10100110B +) -8补11111000B+) -47补 11010001B+) -75补 10110101B 1 11000000B1 10110000B1 11011011B Cs=1,Cp=1Cs=1,Cp=1Cs=1,Cp=0 V=CsCp=0V=CsCp=0V=CsCp=1 无溢出无溢出有溢出,负溢出 若侵犯 了您的版 权利益,敬请来信通知我们! (7)12760 -127补 10000001B +) 60补 00111100B 10111101B Cs=0,Cp=0 V=CsCp=0 无溢出 1.10、 a,b 均为用十六进制形式表示的 8 位带符号数补码,按下列给定的 a,b 之值进行 ab 和 ab的运算, 并用双高位法判断是否产生溢出: (1) a=37, b=57; (2)a=0B7H, b=0D7H; (3)a=0F7H, b=0D7H; (4)a=37H, b=0C7H 解(1) a=37, b=57 a+ba-b 37补 00100101B37补00100101B +) 57补 00111001B+) -57补 11000111B 01011110B11101011B Cs=0,Cp=0Cs=0,Cp=0 V=CsCp=0V=CsCp=0 无溢出无溢出 (2)a=0B7H, b=0D7H a+ba-b 10110111B10110111B +)11010111B+)00101001B 110001110B11100000B Cs=1,Cp=1Cs=0,Cp=0 V=CsCp=0V=CsCp=0 无溢出无溢出 (3)a=0F7H, b=0D7H a+ba-b 11110111B11110111B +)11010111B+)00101001B 111001110B1 00100000B Cs=1,Cp=1Cs=1,Cp=1 V=CsCp=0V=CsCp=0 无溢出无溢出 (4)a=37H, b=0C7H a+ba-b 00110111B00110111B +)11000111B+)00111001B 11111110B01110000B Cs=0,Cp=0Cs=0,Cp=0 V=CsCp=0V=CsCp=0 无溢出无溢出 若侵犯 了您的版 权利益,敬请来信通知我们! 1.11、 将下列十进制数变为 8421BCD 码: (1) 8609; (2)5254; (3)2730; (4)1998 解(1) 86098609H 或 1000,0110,0000,1001B (2) 52545254H 或 0101,0010,0101,0100B (3)27302730H 或 0010,0111,0011,0000B (4)19981998H 或 0001,1001,1001,1000B 1.12、 将下列 8421BCD 码表示成十进制数和二进制数: (1) 01111001;(2)01010111;(3)10000011;(4)10011001 解(1) 011110017901001111B (2)010101115700111001B (3)100000118301010011B (4)100110019901100011B 1.13、 将下列数值或字符串表示成相应的 ASCII 代码: (1) 51; (2)7FH;(3)ABH; (4)C6H; (5)SAM; (6)JONS; (7)Hello; (8)how are you? 解(1) 5135H,31H (2)7FH37H,46H,48H (3)ABH41H,42H,48H (4)C6H43H,36H,48H (5)SAM53H,41H,4DH (6)JONS4AH,4FH,4EH,53H (7)Hello48H,65H,6CH6CH,6FH (8)how are you? 68H,6FH,77H,20H,61H,72H,65H,20H,79H,6FH,75H,3FH 1.14、 有一个 16 位的数值 0101,0000,0100,0011 (1)如果它是一个二进制数,和它等值的十进制数是多少? (2)如果它们是 ASCII 码字符,则是些什么字符? (3)如果是压缩的 BCD 码,它表示的数是什么? 解(1) 20547 (2) PC (3) 5043H 若侵犯 了您的版 权利益,敬请来信通知我们! 微机原理及应用第二章习题答案 2-10.2-10.解逻辑地址为:0FFFFH:0000H,物理地址 PA=0FFFF0H 2-11.2-11.解 2-12有一个由 20个字组成的数据区,其起始地址为 610AH:1CE7H,试写出该数据区首末单元的实际地址PA。2-12有一个由 20个字组成的数据区,其起始地址为 610AH:1CE7H,试写出该数据区首末单元的实际地址PA。 解 首地址 PA=62D87H,末地址 PA=62DAEH。 2-13存储器中每一个段最多为 64K 字节,当程序 routadf 运行后,用 DEBUG 命令显示出当前各寄存器的内容 如下,要求: (1)画出此时存储器分段示意图; (2)写出各状态标志的值。 2-13存储器中每一个段最多为 64K 字节,当程序 routadf 运行后,用 DEBUG 命令显示出当前各寄存器的内容 如下,要求: (1)画出此时存储器分段示意图; (2)写出各状态标志的值。 BC:debug routadf.exe -r AX=0000BX=0000CX=006DDX=0000SP=00C8BP=0000SI=0000DI=0000 DS=53A7ES=11A7SS=21BECS=31B8IP=0000NV UP EI PLNZNA PO NC 解(1) 数据段:53A70H63A6FH;附加段:11A70H21A6FH 堆栈段:21BE0H31BDFH;代码段:31B80H41B7FH 只有堆栈段和代码段之间有地址重叠,其它段之间均无重叠。 (2) OF=0DF=0IF=1SF=0ZF=0AF=0PF=0CF=0 2-14已知 SS=20A0H,SP=0032H,AX=0FF42H,SI=537AH,BL=5CH,将 CS=0A5BH,IP=0012H 推入堆栈后,再执 行下列指令 2-14已知 SS=20A0H,SP=0032H,AX=0FF42H,SI=537AH,BL=5CH,将 CS=0A5BH,IP=0012H 推入堆栈后,再执 行下列指令, PUSH AX PUSH BX;位置 1 PUSH SI;位置 2 POPF;位置 3 (1)画出堆栈存放示意图; (2)画出指令执行到位置 1、位置 2 和位置 3 时堆栈区及 SP 指针内容的变化示意图。 图中应标出存储单元的实际地址 PA。 解 12340H7B 41 42 24 30 39 30 21 78 56 34 12 若侵犯 了您的版 权利益,敬请来信通知我们! SP=0028H20A28H7 A SI 20A29H5 3 SP=002AH 20A2AH5 C BX 20A2BHX X 20A2CH4 2 AX 20A2DHF F 20A2EH1 2 IP 20A2FH0 0 20A30H5 B CS 20A31H0 A SP=0032H20A32HX X 若侵犯 了您的版 权利益,敬请来信通知我们! 微机原理及应用第三章习题答案 3-13-13-13-1 3-23-23-23-2 (1 1 1 1)错 改: )错 改:MOVMOVMOVMOVALALALAL,SISISISI或:或:MOVMOVMOVMOVAXAXAXAX,SISISISI MOVMOVMOVMOVDIDIDIDI,ALALALALMOVMOVMOVMOVDIDIDIDI,AXAXAXAX (2 2 2 2)错,)错,CSCSCSCS 不能做目的操作数。 ( 不能做目的操作数。 (3 3 3 3)错,)错,-25-25-25-25为有符号数,应用为有符号数,应用 IMULIMULIMULIMUL 指令,此外,乘法指令中不能采用立即寻址, 。 改: 指令,此外,乘法指令中不能采用立即寻址, 。 改:MOVMOVMOVMOVBLBLBLBL,-25-25-25-25 IMULIMULIMULIMULBLBLBLBL (4 4 4 4)错,目的操作数不能用立即寻址。 ( )错,目的操作数不能用立即寻址。 (5 5 5 5)错,两个段寄存器之间不能直接进行数据传送。 改: )错,两个段寄存器之间不能直接进行数据传送。 改:MOVMOVMOVMOVAXAXAXAX,CSCSCSCS MOVMOVMOVMOVDSDSDSDS,AXAXAXAX (6 6 6 6)错,)错,SISISISI 和和 DIDIDIDI 不能同时出现在一个操作数的寻址方式中。 ( 不能同时出现在一个操作数的寻址方式中。 (7 7 7 7)错,将标志寄存器的内容推入堆栈,用专门的指令:)错,将标志寄存器的内容推入堆栈,用专门的指令: PUSHFPUSHFPUSHFPUSHF (8 8 8 8)错,寄存器间接寻址不能用)错,寄存器间接寻址不能用AXAXAXAX 寄存器。 改: 寄存器。 改:MOVMOVMOVMOVAXAXAXAX,BXBXBXBX (9 9 9 9)错,操作数位数不匹配。 改: )错,操作数位数不匹配。 改:MOVMOVMOVMOVAXAXAXAX,WORDWORDWORDWORDPTRSIPTRSIPTRSIPTRSI 或:或:MOVMOVMOVMOVALALALAL,BYTEBYTEBYTEBYTEPTRSIPTRSIPTRSIPTRSI (10101010)错,操作数位数不匹配。 改: )错,操作数位数不匹配。 改: MOVMOVMOVMOVCXCXCXCX,AXAXAXAX 或:或: MOVMOVMOVMOVCLCLCLCL,ALALALAL (11111111)错,)错,OUTOUTOUTOUT 指令中指令用指令中指令用 DXDXDXDX 提供端口地址。 改: 提供端口地址。 改: OUTOUTOUTOUTDXDXDXDX,ALALALAL (12121212)错,同一操作数的寻址方式中不能同时出现)错,同一操作数的寻址方式中不能同时出现 BXBXBXBX 和和 BPBPBPBP。 ( 。 (13131313)正确。 ( )正确。 (14141414)正确。 ( )正确。 (15151515)错,移位次数不为)错,移位次数不为 1 1 1 1,不能直接在指令中写出。 改: ,不能直接在指令中写出。 改:MOVMOVMOVMOVCLCLCLCL,5 5 5 5 SARSARSARSARAXAXAXAX,CLCLCLCL 3-33-33-33-3 本题已知条件不够。 若 本题已知条件不够。 若 P P P P、Q Q Q Q都视为无符号数,分别填:都视为无符号数,分别填:JAJAJAJA、JBJBJBJB、JAJAJAJA 若若 P P P P、Q Q Q Q都视为有符号数,分别填:都视为有符号数,分别填:JGJGJGJG、JLJLJLJL、JGJGJGJG 3-63-63-63-6依次填:依次填: 2000H2000H2000H2000H、63H63H63H63H(或(或99999999) 、) 、JGEJGEJGEJGE、L1L1L1L1、2100H2100H2100H2100H 序号序号目的操作数目的操作数源操作数源操作数 (1 1 1 1)寄存器寻址寄存器寻址立即寻址立即寻址 (2 2 2 2)寄存器寻址寄存器寻址存储器寻址存储器寻址( ( ( (直接寻址直接寻址) ) ) ) (3 3 3 3)寄存器寻址寄存器寻址存储器寻址存储器寻址 ( ( ( (基址变址寻址基址变址寻址) ) ) ) (4 4 4 4)存储器寻址存储器寻址 ( ( ( (寄存器间接寻址寄存器间接寻址) ) ) ) 寄存器寻址寄存器寻址 (5 5 5 5)寄存器寻址寄存器寻址存储器寻址存储器寻址 ( ( ( (寄存器相对寻址寄存器相对寻址) ) ) ) (6 6 6 6)隐含寻址隐含寻址寄存器寻址寄存器寻址 若侵犯 了您的版 权利益,敬请来信通知我们! 3-83-83-83-8(1 1 1 1)AX=06FAHAX=06FAHAX=06FAHAX=06FAH,CFCFCFCF、ZFZFZFZF、OFOFOFOF 保持不变。 ( 保持不变。 (2 2 2 2)AL=66HAL=66HAL=66HAL=66H,CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0。 ( 。 (3 3 3 3)BX=083EHBX=083EHBX=083EHBX=083EH,CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0。 ( 。 (4 4 4 4)AX=1B25HAX=1B25HAX=1B25HAX=1B25H,CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0CF=ZF=OF=0。 ( 。 (5 5 5 5)BX=06F9HBX=06F9HBX=06F9HBX=06F9H,CF=1CF=1CF=1CF=1(不变) ,(不变) ,ZF=OF=0ZF=OF=0ZF=OF=0ZF=OF=0。 ( 。 (6 6 6 6)CX=0FEBDHCX=0FEBDHCX=0FEBDHCX=0FEBDH,CF=1CF=1CF=1CF=1,ZF=OF=0ZF=OF=0ZF=OF=0ZF=OF=0。 ( 。 (7 7 7 7)BL=0FBHBL=0FBHBL=0FBHBL=0FBH,CF=1CF=1CF=1CF=1(不变)(不变),ZF=OF=0,ZF=OF=0,ZF=OF=0,ZF=OF=0。 ( 。 (8 8 8 8)DX=01CDHDX=01CDHDX=01CDHDX=01CDH,AX=0E5C2HAX=0E5C2HAX=0E5C2HAX=0E5C2H,CF=OF=1CF=OF=1CF=OF=1CF=OF=1,ZFZFZFZF 保持不变。 ( 保持不变。 (9 9 9 9)AX=41FCHAX=41FCHAX=41FCHAX=41FCH,CFCFCFCF、ZFZFZFZF、OFOFOFOF 都保持不变。都保持不变。 3-113-113-113-11 题目要求的题目要求的 3 3 3 3个功能(个功能(3 3 3 3 个小题)可用一段程序全部实现。个小题)可用一段程序全部实现。 LEALEALEALEASISISISI,ARRAYARRAYARRAYARRAY MOVMOVMOVMOVCXCXCXCX,7 7 7 7 LEALEALEALEADIDIDIDI,NEWNEWNEWNEW MOVMOVMOVMOVWORDWORDWORDWORDPTRPTRPTRPTR SUMSUMSUMSUM,0 0 0 0;总分单元清零;总分单元清零 CLDCLDCLDCLD NEXTNEXTNEXTNEXT:LODSBLODSBLODSBLODSB ADDADDADDADDALALALAL,5 5 5 5;每人加;每人加 5 5 5 5分分 STOSBSTOSBSTOSBSTOSB ADDADDADDADDBYTEBYTEBYTEBYTEPTRPTRPTRPTRSUMSUMSUMSUM,ALALALAL;累加成绩(结果为;累加成绩(结果为 16161616 位)位) ADCADCADCADCBYTEBYTEBYTEBYTEPTRPTRPTRPTRSUM+1SUM+1SUM+1SUM+1,0 0 0 0 LOOPLOOPLOOPLOOPNEXTNEXTNEXTNEXT MOVMOVMOVMOVAXAXAXAX,SUMSUMSUMSUM MOVMOVMOVMOVBLBLBLBL,7 7 7 7 DIVDIVDIVDIVBLBLBLBL;求平均分(商和余数);求平均分(商和余数) MOVMOVMOVMOVAVERAGEAVERAGEAVERAGEAVERAGE,AXAXAXAX 注:每个分数不超过注:每个分数不超过 100100100100,所以为字节数据。但总数可能达到,所以为字节数据。但总数可能达到 700256700256700256700256,所以总分应为,所以总分应为 16161616位。位。 3-133-133-133-13(1 1 1 1)LEALEALEALEASISISISI,STRINGSTRINGSTRINGSTRING LEALEALEALEADIDIDIDI,GET_CHARGET_CHARGET_CHARGET_CHAR MOVMOVMOVMOVCXCXCXCX,26262626 CLDCLDCLDCLD REPREPREPREPMOVSBMOVSBMOVSBMOVSB (2 2 2 2)假设字符串)假设字符串TheTheTheThe computercomputercomputercomputer放在附加段中偏移地址为放在附加段中偏移地址为 STR1STR1STR1STR1的单元。的单元。 LEALEALEALEASISISISI,STRINGSTRINGSTRINGSTRING LEALEALEALEADIDIDIDI,STR1STR1STR1STR1 MOVMOVMOVMOVCXCXCXCX,26262626 CLDCLDCLDCLD MOVMOVMOVMOVBLBLBLBL,CLCLCLCL REPZREPZREPZREPZCMPSBCMPSBCMPSBCMPSB;相同则继续比较;相同则继续比较 JZJZJZJZSAMESAMESAMESAME相同则至相同则至 SAMESAMESAMESAME XORXORXORXORALALALAL,ALALALAL;否则将;否则将ALALALAL 清零清零 JMPJMPJMPJMPNUMNUMNUMNUM SAMESAMESAMESAME:MOVMOVMOVMOVALALALAL,1 1 1 1 NUMNUMNUMNUM:SUBSUBSUBSUBBLBLBLBL,CLCLCLCL;计算比较次数;计算比较次数 HLTHLTHLTHLT (3 3 3 3)LEALEALEALEADIDIDIDI,STRINGSTRINGSTRINGSTRING CLDCLDCLDCLD MOVMOVMOVMOVCXCXCXCX,26262626 若侵犯 了您的版 权利益,敬请来信通知我们! MOVMOVMOVMOVALALALAL, BX=0003H; CX=0FFFFH; SI=0FFFFH; DX=4112H; 4-7(1)MOV AX,0FFFFH (2) ADD CX,0040H (3) OR AL,03H 09H 00H XX XX XX XX XX XX XX XX 02H 00H XX XX XX XX XX XX XX XX XX 00H 00H 41H 42H XX 00H 00H 41H 42H 若侵犯 了您的版 权利益,敬请来信通知我们! (4) AND BL,0A8H (5) MOV AL,12H (6)MOV AL,17H 4-8VAR1: 0010H; VAR2:002AH 若侵犯 了您的版 权利益,敬请来信通知我们! 第五章 存储器第五章 存储器 5-4(1)字节= 16KB 14 2 (2)16Kx8/ 1Kx 1= 128 片 (3)= 10 1024 2 log 5-5(1)16Kx8/ 1Kx 4= 32 片 (2)2/64 = 0.03125s (3)64,100%。 5-6系统连接图如下: 地址范围 19 A 18 A 17 A 16 A 15 A 14 A 13 A 12 A 11 A 100 AA 00100000020000H2#61161#61160 0 00100000020FFFH1 1 00100001021000H4#61163#61160 0 00100001121FFFH11 若侵犯 了您的版 权利益,敬请来信通知我们! 5-7 16Kx8/ 1Kx 1= 128 片,地址线中有= 10 位参与片内寻址,6 位组成片选 1024 2 log 信号。 5-8 地址分配不连续,相当数量的地址不能使用,当同时有两个及以上出现 1512 AA 低电平时会造成片选混乱。 芯片地址分配如下:0003EHE FFH 0003DHD FFH 0003BHB FFH 7000 73HFFH 5-9 5-11 参考教材 P220 例题。 5-15 有地址重叠,地址范围如下: 0000 07HFFH 0800 0HFFFH 100017HFFH 18001HFFFH 第六章 中断系统第六章 中断系统 6-1 中断是一个过程,是指 CPU 正常运行时,由于某种随机发生的外部事件而使它 暂停执行当前程序,转而执行另外一段程序,完成后再返回暂停处继续执行原来的 若侵犯 了您的版 权利益,敬请来信通知我们! 程序。 中断是计算机技术的重要组成部分,使计算机功能更为完善。主要作用如下: 1)使 CPU 和外设同时工作。 2)实现实时处理 3)故障处理 6-2参考教材P229 6-4参考教材 P233P234 6-5 保护现场可以使中断处理结束时,CPU 能正确地返回断点处继续执行下一条指 令。 恢复现场能使得程序顺利回到断点处,执行下一条指令。 6-7 中断向量表的设置方法有三种,举例如下: 1.在程序设计时定义一个如下数据段 VECDATASEGMENTAT0 ORGN*4 INTSUB:DWSUBOFFSET, SUBSEG VECDATAENDS 其中 N 为要用到的中断类型号;SUBSEG 表示中断服务程序入口的段基址; SUBOFFSET 表示段内偏移地址。 2. 在程序的初始化部分设置几条传送指令,把中断服务程序的入口地址置入中断向 量表中 若侵犯 了您的版 权利益,敬请来信通知我们! VECDATASEGMENTAT0 ORGN*4 INTSUBDW2DUP(?) VECDATAENDS VECSETSEGMENT ASSUMECS:VECSET,DS:VECDATA VECINTPROCFAR MOVAX,VECDATA MOVDS,AX MOVINTSUB,SUBOFFSET MOVINTSUB+2,SUBSEG RET VECINTENDP VECSETENDS END VECINT 3. 借助 DOS 功能调用,把中断服务程序的入口地址置入中断向量表中。利用功能调 用指令 INT 21H。 6-10 INAL,INTPORT TESTAL,80H JNEINTSVS_1 INAL,INTPORT TESTAL,40H 若侵犯 了您的版 权利益,敬请来信通知我们! JNEINTSVS_2 INAL,INTPORT TESTAL,20H JNEINTSVS_3 INAL,INTPORT TESTAL,10H JNEINTSVS_4 INAL,INTPORT TESTAL,08H JNEINTSVS_5 INAL,INTPORT TESTAL,04H JNEINTSVS_6 INAL,INTPORT TESTAL,02H JNEINTSVS_7 INAL,INTPORT TESTAL,01H JNEINTSVS_8 第七章 基本输入输出接口第七章 基本输入输出接口 7-3 并行接口在传送一个数据时,数据的各位同时传送,即 CPU 与 I/O 设备之间需 多根数据线。 特点:传送速率快;但不适合长距离数据传输;所传送的信息一般不要求固定的格 若侵犯 了您的版 权利益,敬请来信通知我们! 式;一般在接口和外

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论