eda课程设计报告格式---洗衣机.doc_第1页
eda课程设计报告格式---洗衣机.doc_第2页
eda课程设计报告格式---洗衣机.doc_第3页
eda课程设计报告格式---洗衣机.doc_第4页
eda课程设计报告格式---洗衣机.doc_第5页
已阅读5页,还剩17页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

燕山大学课 程 设 计 说 明 书题目: 洗衣机 学院(系): 电气工程学院 年级专业: 学 号: 学生姓名: 指导教师: 吕宏诗 张强 教师职称: 实验师 燕山大学课程设计(论文)任务书院(系):电气工程学院 基层教学单位:电子实验中心 学 号学生姓名专业(班级)设计题目洗衣机设计技术参数 洗衣机工作时间可在1-15分钟内任意设定(整分钟数); 规定洗衣机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,如此反复; 洗衣机正转时在双色点阵上显示Z,反转时显示F; 要求用两个数码管显示洗衣机剩余工作时间,每当电机运行1分钟,显示器自动减1,直到为0时,电机停止运转;设计要求 用动态数码管显示时间; 电机正转、反转要用双色点阵显示。工作量学会使用Max+PlusII软件、Verilog HDL语言和实验箱;独立完成电路设计,编程下载、连接电路和调试;参加答辩并书写任务书。工作计划1. 了解EDA的基本知识,学习使用软件Max+PlusII,下发任务书,开始电路设计;2. 学习Verilog HDL语言,用Verilog HDL进行程序设计;3. 学习使用实验箱,继续电路设计;4. 完成电路设计;5. 编程下载、连接电路、调试和验收;6. 答辩并书写任务书。参考资料数字电子技术基础.阎石主编.高等教育出版社.EDA课程设计A指导书.指导教师签字基层教学单位主任签字金海龙说明:此表一式四份,学生、指导教师、基层教学单位、系部各一份 年 月 日目 录第1章 摘要1第2章 设计方案2 第3章 Verilog HDL源程序3第4章 源程序各部分波形仿真图5第5章 管脚锁定及硬件连线 14第6章 结论 16参考文献 18前 言 面对当今飞速发展的电子产品市场,电子设计人员需要更加实用、快捷的EDA工具,实用统一的集成设计环境,改变传统设计思路,即优先考虑具体物理实现方式,而将精力集中到设计构思、方案比较和寻找最优化设计等方面,以最快的速度开发出性能优良、质量一流的电子产品。今天的EDA工具将向着功能强大、简单易学、使用方便的方向发展。此次课程设计的题目洗衣机,本任务书,首先概括介绍了EDA技术、VerilogHDL硬件描述语言,根据任务书对本课题整体思路进行了介绍,然后分别介绍了主程序各部分的功能,并绘制波形仿真,再次给出实现本任务书所要求的功能及其附加功能的源程序以及波形仿真图,最后进行管脚锁定和外部硬件连线并下箱实现了所有功能。在本次课程设计过程中源程序编译及硬件连接过程中都遇到了很多困难,在老师的耐心指导下完成了本次课程设计。再次特别感谢老师的指导。第一章 摘 要数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。1. EDA介绍EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的可开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。利用EDA技术进行电子系统的设计,具有以下几个特点:(1)用软件的方式设计硬件;(2)用软件的方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;(3)设计过程中可用有关的软件进行仿真;(4)系统现场可编程,在线升级;(5)整个系统可集成在一个芯片上,体积小,功耗低,可靠性高。因此,EDA技术是现代电子设计的发展趋势。2.Verilog HDL Verilog HDL 是目前应用最广泛的硬件描述语言之一,被IEEE采纳为IEEE STD1364-1995(也成为Verilog-1995)和IEEE STD.1364-2001(也成为Verilog-HDL)可以进行算法级(Algorithm)、寄存器传输级(RTL)、逻辑级(Logic)、门级(Gate)和版图级(Layout)等各个层次的电路设计和描述。采用Verilog HDL 进行电路设计于工艺设计无关,这使得设计者在进行电路设计时可以不必过多的考虑工艺实现的具体细节,设计者只需要利用计算机的强大功能,在EDA工具的支持下,通过Verilog HDL的描述,完成数字电路和系统的设计即可,从而提高了设计效率,降低了设计者的劳动强度。 作为硬件描述语言,Verilog HDL具有如下特点:(1) 能够在不同的抽象层次上,如系统级、行为级、RTL级、门级和开关级,对设计系统进行精确而简练的描述。(2)能够在每个抽象层次的描述上对设计进行仿真验证,及时发现及时发现可能存在的错误,缩短设计周期,并保存整个设计过程的正确性。(3)由于代码描述与工艺过程实现无关,便于设计标准化,提高设计的可重用性。如国有C语言的编程基础经验,只需很短的时间就能学会和掌握Verilog HDL,因此,Verilog HDL可以作为学习HDL设计方法的入门和基础。第二章 设计方案2.1任务分析由任务书可知要求如下:洗衣机工作时间可在1-15分钟内随时可任意设定(整分钟数);规定洗衣机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,如此反复;用两个数码管显示洗衣机剩余工作时间,每当电机运行1分钟,显示器自动减1,直到为0时,电机停止运转并有蜂鸣器发声提示。通过设计可以达到以下功能:1、洗衣机正转20s时双色点阵显示“Z”,同时红色信号指示灯L1亮灯。反转20s时双色点阵显示“F”,同时红色信号指示灯L2亮灯。2、两位动态数码管显示剩余分钟数,每一分钟减小一。3、当工作停止时,动态数码管显示“00”同时蜂鸣器响。2.2设计思路六十进制加计数器根据任务可以知道由于每整数分钟后要减少一,所以需要一个六十进制计数器,使每六十秒产生一个进位信号,为整数分钟提供减数信号。十五进制减法计数器,完成十五分钟任意置数后的计时显示剩余工作时间。当记时为0是,fengming=1是蜂鸣器响。动态数码管部分采用ss作为位选信号,有clk1高频循环,由于发光二极管的余辉效应以及人眼的视觉暂留现象,会观察到两位数码管同时显示。八进制加法计数器部分用来完成双色点阵的行与列的高频扫描,同样利用发光二极管的余辉效应以及人眼的视觉暂留现象,会在双色点阵上看到一定的图形。双色点阵部分具有当行是低电平而对应的列是高电平时该点亮光的特性,因此分别另每行对应低电平时需要点亮的列是高电平,高频循环后,就会得到需要的图形。 第三章 Verilog HDL设计源程序module xiyijibiancheng(CLK,shiwei,gewei,forward,fengming,back,LDN,CLK1,G,SS,ROW,GA);input CLK,CLK1,LDN;input3:0shiwei,gewei;output forward,back,SS,fengming;output6:0G;output7:0ROW,GA;reg L;reg2:0 W;reg3:0OUT;reg6:0G;reg7:0ROW,GA; reg3:0QQ_TEMP,C,Q,C_TEMP;reg forward,back,RD,CC_TEMP,cp,SS,B_TEMP,fengming;reg1:0dianzhen;reg5:0Q_TEMP;always(posedge CLK) /六十进制加法计数器 begin if(LDN) if (shiwei!=b0000|gewei!=b0000) begin if (RD=1) if (Q_TEMP=6b000000&Q_TEMP6b011110&Q_TEMP=6b010101&Q_TEMP=6b110011&Q_TEMP4b0000) QQ_TEMP=QQ_TEMP-1; else if(QQ_TEMP=4b0000) begin QQ_TEMP=QQ_TEMP+4b1001; C_TEMP=C_TEMP-1; end Q=QQ_TEMP; C=C_TEMP; end end endalways(posedge CLK1) /八进制计数器部分begin if(W=b111) W=0; else W=W+1;end always(W) /双色点阵部分begin if(dianzhen=b11&LDN=1&forward=1&back=0)begin case(W) 0:begin ROW=b01111111;GA=b11111111;end 1:begin ROW=b10111111;GA=b00000010;end 2:begin ROW=b11011111;GA=b00000100;end 3:begin ROW=b11101111;GA=b00001000;end 4:begin ROW=b11110111;GA=b00010000;end 5:begin ROW=b11111011;GA=b00100000;end 6:begin ROW=b11111101;GA=b01000000;end 7:begin ROW=b11111110;GA=b11111111;end endcaseendif(forward=0&back=0&LDN=1&dianzhen=b01)beginROW=b11111111;GA=b00000000;endif(dianzhen=b00&LDN=1&back=1&forward=0)begin case(W) 0:begin ROW=b01111111;GA=b11111111;end 1:begin ROW=b10111111;GA=b10000000;end 2:begin ROW=b11011111;GA=b10000000;end 3:begin ROW=b11101111;GA=b11111110;end 4:begin ROW=b11110111;GA=b10000000;end 5:begin ROW=b11111011;GA=b10000000;end 6:begin ROW=b11111101;GA=b10000000;end 7:begin ROW=b11111110;GA=b10000000;end endcaseendendalways(posedge CLK1) /动态数码位选信号部分 begin if(B_TEMPb1) B_TEMP=B_TEMP+1; else begin B_TEMP=b0;end SS=B_TEMP; endalways(posedge CLK1) begin case(SS) b0:OUT=QQ_TEMP; b1:OUT=C_TEMP; endcase endalways(OUT) /动态数码管部分 begin case(OUT) 0:G=b0111111; 1:G=b0000110; 2:G=b1011011; 3:G=b1001111; 4:G=b1100110; 5:G=b1101101; 6:G=b1111101; 7:G=b0000111; 8:G=b1111111; 9:G=b1101111; endcase endendmodule第四章 源程序各部分波形仿真图4.1 预置数部分预置时间情况如下表所示控制端十位各位预置数LDNshiwei3shiwei2shiwei1shiwei0gewei3gewei2gewei1gewei000000000000000000011000000010200000001130000001004000000101500000011060000001117000001000800000100190000110101000001101111000011100120000111011300001111014000011111151XXXXXXXX0功能说明: 以上置数时,需要在LDN=0时才可。shiwei3:0和gewei3:0分别用数字开关组A组SW1SW8来控制。4.2各部分仿真波形1、六十进制加法计数器部分由仿真波形可知,当置数端LDN=1时表示指数结束,此时Q_TEMP由零开始计数当计时到60时,cp=1,为十五进制减法计数器输入减1信号。2、十五进制减法计数器部分由gewei3:0和shiwei3:0可知所置数数值为11,当开始工作后60秒时cp输出高电平使得Q_TEMP3:0由1变为0,即此时动态数码管的个位由1变为0,十位数值C_TEMP仍为1,即完成“显示剩余工作时间10分钟”的功能。3、动态数码管部分由图可知指数值为11,在一分钟内OUT3:0一直交替显示个位与十位的值,均为1,一分钟后变为交替显示0和1,在经过一份后交替显示9和0。而位选信号SS则交替显示0和1,不断选择显示的位,如此循环,由于发光二极管的余辉特性及人眼的视觉暂留特性,可以看到显示的两位动态数码管数值。4、八进制计数器部分由图可以知道随着CLK1的高频率变化W有0到7不断变化,完成双色点阵行与列的高频扫描。5、双色点阵部分由图可以知道当dianzhen=01是显示停止工作10秒时的仿真波形,此时正转forward=0反转back=0,行ROW均输出高电平,列GA均输出低电平,故点阵不显示任何波形。当dianzhen=11是显示正转20s时的仿真波形,此时正转forward=1反转back=0,行和列分别显示所需图形对应的值,在双色点阵上显示“Z”。当dianzhen=00是显示反转20s时的仿真波形,此时正转forward=0反转back=1, 行和列分别显示所需图形对应的值,在双色点阵上显示“F”。4.3数码管显示部分本任务需要两个数码管显示剩余时间,数码管输入输出各量真值表如下:个位数字数码管显示:输入(个位)SS=1数码管输出数码管显示值Q3Q2Q1Q0hgfedcba0000001111110000100000110100100101101120011010011113010001100110401010110110150110011111006011100000111710000111111181001011001119十位数字数码管显示: 输入(十位)SS=0数码管输出数码管显示值Q7Q6Q5Q4hgfedcba00000011111100001000001101功能说明:SS在0、1之间以一定的频率来回变换,因此观察者可以看到两个数码管同时显示数字,而实际上在同一时刻只有一个数码管显示。当SS=0时,选中DS8A,使输出量为十位上的数;当SS=1时,选中DS7A,使输出量为个位上的数。数码管输入数据不同,输出的7个段信号不同,数码管显示的数就不同。4.4整体仿真波形功能说明:在开始时LDN=0,开始置数,置数完成后LDN=1开始工作,正转(forward=1),双色点阵显示“Z”,同时红色彩灯L1亮,暂停10S,反转20S(back=1),双色点阵显示“F”,同时红色彩灯L2亮,当停止工作时,蜂鸣器蜂鸣提示(fengming=1)。第五章 管脚锁定及硬件连线5.1 管脚锁定程序输入端管脚锁定:程序输入端扩展版下载接口程序输入端扩展板下载接口CLKPin8CLK1Pin9gewei0Pin53gewei1Pin47gewei2Pin46gewei3Pin45shiwei0Pin44shiwei1Pin41shiwei2Pin40shiwei3Pin39LDNPin89程序输出端管脚锁定:程序输出端扩展版下载接口程序输出端扩展板下载接口forwardPin12backPin13SsPin87fengmingPin38G0Pin85G1Pin75G2Pin83G3Pin86G4Pin88G5Pin90G6Pin93ROW0Pin198ROW1Pin196ROW2Pin193ROW3Pin191ROW4Pin189ROW5Pin179ROW6Pin176ROW7Pin174GA0Pin197GA1Pin195GA2Pin192GA3Pin190GA4Pin187GA5Pin177GA6Pin175GA7Pin1735.2 硬件连线 Pin38 Pin53 Pin47 Pin46 Pin45 Pin44 Pin41 Pin40 Pin39 分别为蜂鸣器和A组数字开关SW8 SW7 SW6 SW5 SW4 SW3 SW2 SW1,已经内部连接,不需连线。Pin10CLOCK 信号引脚21 Pin9CLOCK信号引脚11Pin89B组数字开关SW9 Pin12彩色信号指示灯L1Pin13彩色信号指示灯L2 Pin87动态数码管位选信号SS0 Pin 85动态数码管a Pin75动态数码管bPin 83动态数码管c Pin 86动态数码管dPin 88动态数码管e Pin 90动态数码管fPin 93动态数码管g Pin 198双色点阵ROW1Pin 196双色点阵ROW2 Pin 193双色点阵ROW3Pin 191双色点阵ROW4 Pin 189双色点阵ROW5Pin 179双色点阵ROW6 Pin 176双色点阵ROW7Pin 174双色点阵ROW8 Pin 197双色点阵GA1Pin 195双色点阵GA2 Pin 192双色点阵GA3Pin 19

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论