(微电子学与固体电子学专业论文)deltasigma数模转换器的理论与设计.pdf_第1页
(微电子学与固体电子学专业论文)deltasigma数模转换器的理论与设计.pdf_第2页
(微电子学与固体电子学专业论文)deltasigma数模转换器的理论与设计.pdf_第3页
(微电子学与固体电子学专业论文)deltasigma数模转换器的理论与设计.pdf_第4页
(微电子学与固体电子学专业论文)deltasigma数模转换器的理论与设计.pdf_第5页
已阅读5页,还剩67页未读 继续免费阅读

下载本文档

版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领

文档简介

摘要 一数模转换器的核心技术是过采样和噪声整形技术。相比传统类型的d a c 而言,一d a c 的主要优势有以下几个方面:其数字化特性便于实现嵌入式集 成;其简单工艺使得它在成本方面也具有一定的优势;此外,其固有的线性以及 对差分非线性和背景噪声的不敏感特性,使得系统可以实现很高的信噪比。最重 要的是,它可以达到其它类型的d a c 无法达到的精度和动态范围。 一数模转换器的主要功能模块包括过采样滤波模块、一调制器和d a 转换单元。由于本文的工作是建立在f p g a 实现方式的基础上,其d a 转换单元 需要另外的模拟电路搭建,因此本文主要针对过采样滤波模块和一调制器进行 研究。 本文设计的过采样滤波模块采用三个半带滤波器和一个c i c 滤波器级联而成, 具有良好的通带和阻带特性,最终实现了6 4 倍的采样率提升。同时,对于三个半 带滤波器,均采用双相结构实现,并对其1 6 位系数进行了c s d 编码处理,不但 把定点常系数的乘法运算转化为移位相加,而且还大幅度降低了加法( 或减法) 的运算次数,达到了提高运算速度、降低功耗、减小面积的多重目的。 由于不稳定性因素的存在,高阶一调制器的设计与实现一直存在比较大的 难度。本文综合大量文献中的经验原则和方法,详细阐述了稳定的高阶、高精度 一调制器的设计过程。并以此为依据,最终采用c i f b 结构,设计并实现了5 阶、6 4 倍过采样、1 比特调制的一调制器。在设计过程中,通过优化调制器噪 声传递函数的零、极点,使信噪比获得了大约1 7 d b 的提高;在电路实现上,本方 案通过在控制数据流动的状态机中安排必要的运算时序,复用了加法器和乘法器, 使加法器和乘法器的数目均从1 2 个降低到1 个,减少了大量的运算单元,很大程 度的减少了电路的复杂性。经验证,该设计克服了高阶一调制器特有的不稳定 因素,并且信噪比和动态范围均超过1 2 0 d b 。 本文设计的一d a c 已经在a l t e r a 公司的c y c l o n e i i 系列e p 2 c 3 5f p g a 器 件下得到硬件实现和验证。 关键字:数模转换器过采样d e l t a - s i g m a 调制器 a b s t r a c t t h et w oc a ) r et e c h n o l o g i e so ft h ea - ed a ca r eo v c r s a m p l i n ga n dn o i s es h a p i n g t e c h n o l o g y c o m p a r e dw i t ho t h e rc o n v e n t i o n a ld a c ,t h em a j o ra d v a n t a g e so fa 一 d a ca r es h o w e da sf o l l o w i n g t h ef i r s t , a 一d a cc a nb ei n t e g r a t e do n t oo t h e r d i 百h a ld e v i c e sd u et oi t sd i 百t a ln a t u r e t h es e c o n d ,t h ec o s to fi m p l e m e n t a t i o ni sl o w b e c a u s eo fs i m p l et e c h n o l o g y t h el a s t , a ed a ci si n h e r e n t l yl i n e a ra n dd o e sn o t s u f f e rf r o ma p p r e c i a b l ed i f f e r e n t i a ln o n l i n e a r i t ya n dt h eb a c k g r o u n dn o i s el e v e lw h i c h s e t st h es y s t e ms n ri n d e p e n d e n to ft h ei n p u ts i g n a ll e v e l b e s i d e so f t h e s e ,t h em o s t i m p o r t a n ta d v a n t a g ei st h a tt h ea - e d a ci sa b l et oa c h i e v eh i g h - p e r f o r m a n c ea n d 1 1 i g h p r e c i s i o nw h i c ht h ec o n v e n t i o n a li sn o ta b l et od o t h ea - ed a cc o n s i s t so fa nu p s a m p l i n gm o d u l e ,aa em o d u l a t o ra n dad i g i t a l t oa n a l o gc o n v e r t e r b e c a u s et h ed e s i g ni si m p l e m e n t e do nf p g a ,m a j o rr e s e a r c h e si n t h i sp a p e rf o c u so nu p s a m p l i n gm o d u l ea n ds d m i nt h i sp a p e r , w ec a s c a d e d3h a l f b a n df i l t e r sa n dac i cf i l t e rt or e a l i z et h eu p s a m p l i n g m o d u l e t h ew h o l ei n t e r p o l a t i o nf i l t e rs y s t e mh a sp e r f e z tp a s s b a n da n ds t o p - b a n d p e r f o r m a n c ea n da c h i e v e sa6 4 xo v e r s m p l i n gr a t e w es i m p l i f i e dt h es t r u c t u r ea n ds a v e t h el o g i cd e m e n t sb yu s i n gm u l t i p h a s es t r u c t u r ea n dc s dc o d ei nd e s i g n i n gh a l fb a n d f i l t e r s a f t e rl e a r n i n ge x p e r i e n c e sa n dm e t h o d sf r o mo t h e rp a p e r s ,w es h o w e dt h ed e s i g n f l o wo ft h es t a b l eh i g h o r d e ra - zm o d u l a t o ra n dd e s i g n e da5 t h o r d e r6 4 一t i m e so n e w i t hc i f bs t r u c t u r eb a s e do nt h ef l o w w ei m p r o v e ds n ra b o u t17d bt h r o u g h o p t i m i z i n gt h en t fz e r o sa n dp o l e s i nt h ed e s i g no fc i r c u i t ,w ed e c r e a s e da m o u n to f 1 0 9 i ce l e m e n tt h r o u g hr e u s i n ga d d e ra n dm u l t i p l i e r t h es i m u l a t i o nr e s u l ts h o w e dt h a t t h es n ro f t h em o d u l a t o ri sa b o v e1 2 0d b s of a r , t h i sa - ed a ci si m p l e m e n t e da n dv e r i f i e db ya l t e r ac y c l o n ei ie p 2 c 3 5 f p g a k e y w o r d s :d a co v e r s a m p l i n ga - em o d u l a t i o n 西安电子科技大学 学位论文独创性( 或创新性) 声明 秉承学校严谨的学分和优良的科学道德,本人声明所呈交的论文是我个人在 导师指导下进行的研究工作及取得的研究成果。尽我所知,除了文中特别宓蟊以标 注和致谢中所罗列的肉容以外,论文中不包含其他入已经发表或撰写过的磷究成 果;也不包含为获得谣安电子科技大学或其它教育机构的学位或证书而使用过的 材料。与我一同工作的同志对本研究所做的任何贡献均己在论文中做了明确的说 甓并表示了谢意。 申请学位论文与资料若有不实之处,本人承担一切的法律责任。 本人签名:越鲤 西安电子科技大学 关于论文使用授权的说明 本人完全了解西安电子科技大学有关保留和使用学位论文的规定,即:研究 生在校攻读学位期闻论文工作的知识产权单位属西安电子科技大学。学校有权保 留送交论文的复印件,允许查阅和借阅论文;学校可以公布论文的全部或部分内 容,可以允许采用影印、缩印或其它复制手段保存论文。同时本人保证,毕业后 结合学位论文研究课题再攥写豹文章一律署名单位为谣安电子科技大学。 ( 保密的论文在解密后遵守此规定) 本学位论文属于保密,在一年解密后适用本授权书。 本人签名:盔饧耀 导师签名:寻雌日期半亟刈l 第1 章前言 1 1a d a c 的研究背景 第1 章前言 数模转换器( d i g i 越t oa n a l o gc o n v e r t e r ,d a c ) 是实现数字信号到模拟信号 转换功能的电路模块。 对于传统的d a c ( 主要为权电阻型、梯形电阻型、开关电容型及并联型等) , 无论采用何种结构,主要都是采用奈奎斯特( n y q u i s t ) 采样率下的模拟电路来实 现,虽然实时性缀好,但是对电路的设计和制造工艺要求很高。在高分辨率的情 况下,转换结果受电阻或者电流单元精度的影响变得尤为明显。例如,在3 v 的参 考电压下,要实现个1 8 位精度的d a c ,其允许的最大误差( 1 2 l s b ) 约为5 7 a v , 仅仅相当于尼个电子存储在0 1 矿的电容上所产生的电压,+ 也小于典型的m o s 运 放的输入热噪声。这样一来,就需要使用更好的工艺,来减小集成电路制造过程 中电阻或者电流源的偏差,有时候在后期甚至需要对电阻进行激光修正,这无疑 大大增加了成本i l l 。此终,在传统d a c 的输出端,往往要采用复杂的高阶重构滤 波器来平滑信号,这进一步增加了工艺难度和产品成本。基于上述原因,采用过 采样和噪声整形技术的一d a c ( d e l t a - s i g m a d a c ) ,在高精度和中低速的数模 转换应用中,逐渐取代传统数模转换器。 杰一d a c 把大部分转换过程转移到数字域进行楚理,虽然增加了数字电路 的规模,但是由于数字部分输出的数据码流字长很短( 通常为l 比特) ,因此模拟 部分可以只用一个位数很低的简单d a 转换单元构建;同时,大部分的数字电路 可以采用标准的数字c m o s 工艺实现,也相应的降低了剩造成本。综上所述, 一d a c 相比传统的奈奎斯特率d a c ,其优势主要表现为精度高、成本低、易 于与大规模数字系统进行单片集成等。 1 2a 一d a c 的发晨 一调制器是由d el o r i a n e 等人于1 9 4 6 年提出的,其目的在于减少信源 编码长度。随后,c u l t e r 于1 9 5 4 年首次提出了噪声整形的概念,并于1 9 6 0 年获 得专利。1 9 6 2 年,i n o s e 等人针对c u l t e r 的系统进一步提出了矗一调制器,改 进了a 调制器中过载电压幅度随信号频率下降的特性,并酋次较好地阐明了噪声整 形和过采样的概念。 2 0 世纪7 0 年代,c a n d y 等人陆续发表7 用一调制器和数字滤波器实现 a d 、d a 转换的报道。1 9 7 7 年,r i t c h i e 在环路的前向通路中级联了多个积分器, 同时将d a c 的输出反馈到每个积分器的输入端来保证高阶环的稳定,这对设计高 阶的a 一调制器开创了道路。但是,受限于7 0 年代集成电路的工艺水平,使用 2 d e l t a s i g m a 数模转换器的理论与设计 一调制器的数据转换器还无法与传统的奈奎斯特率转换器竞争。 直到2 0 邀纪8 0 年代,尤其是8 0 年代后期,随着大规模集成电路技术的迅速 发展,同时为了适应当时数字化音频市场对高精度数据转换器的需求,出现了一 股持续研究过采样一转换技术的热潮。这一段时期内发表的具有代表意义的论 文主要有:1 9 8 5 年c a n d y 对二阶积分器环设计方法的阐述;1 9 8 6 年h a y a s h i 提出 的多级噪声整形结构( m u l t i s t a g en o i s e s h a p i n g ,m a s h ) ;1 9 8 9 年c a r l c y 为减小 非线性误差提出的动态元件匹配( d y n a m i ce l e m e n tm a t c h i n g ,d e m ) 技术。 进入2 0 世纪以后,对一d a c 的研究重点主要集中在如何开发稳定的高阶 调制系统以及l 比特数模转换和模拟平滑滤波模块等方面。其中,p h i l i p s 研究实 验室在2 0 0 0 年设计的一种用于车载a m f m 接收机的巧基带过采样数模转换器, 使用了5 阶一调制器,结合复杂的共轭闭环滤波器,实现了1 6 位的精度。2 0 0 4 年,阕济大学开发的神芯二号d a c 芯片,实现了2 4 位精度,1 0 4 d b 的动态范誉 和9 0 d b 的谐波失真度。近年来,随着可编程逻辑器件的发展和s o p c 技术的需求, 人们逐渐开始研究在f p g a 上实现一d a c 。其中成功的典型案例有:2 0 0 3 年, p e t e rk i s s 和j e s u sa r i a s 等人设计并实现了一个基于f p g a 的具有良好稳定性的过 采样d a c ,仅以4 倍的过采样率达到了6 0 d b 的信嗓比f 2 l ;同年,香港中文大学 的r a yc c c h e u n g 等人提出的基于f p g a 实现的可重构过采样d a c 方案,达到 了最高2 4 比特的精度f 3 1 ;2 0 0 6 年,华东师范大学的洪亮设计的可重构2 4 比特音 频过采样d a c ,针对不同输入字长进行3 5 阶调制器的转换,并在f p g a 器件上 得到验证。 到目前为止,随着设计经验和制造技术的逐渐成熟,使用一调制器的数据 转换器的应用领域已经从过去的高精度音频处理逐步扩展到高精度测量、数据通 信等方面。例如,在地震数据采集系统中广泛使用的c s 5 3 2 1 c s 5 3 2 2 组件,该组 芯片的动态范围高达1 3 0 d b ,采样率从6 2 5 h z 4 k i - i z 可调,满足了地震单元高达 1 2 0 d b 的动态范围以及对各种采样率的要求。 1 3 本文的研究意义及主要工作 露前,市场上的音频一d a c ,其升采样率一般在1 6 至1 2 8 倍之间,调制 器的阶数多为三阶或者四阶,其动态范围和信噪毙大多在9 沁1 1 0 d b 之阆。对于高 品质的声音输出来说,音频d a c 的性能仍有进一步提高的需求,这就需要进一步 提高音频d a c 中调制器的动态范围及信噪比。虽然提高过采样率对一d a c 性 能的提高会有一定的效栗,但是单纯的侬靠提升过采样搴的方法是很不切实际的, 因为这样不但会增加电路的功耗,而且对提高一d a c 性能的效果也不明显。 因此,要提高一d a c 的性能,就需要提高调制器噪声整形的阶数,或者增加 第1 章前言 调制器的量化比特数。提高调制器的阶数,会给调制器的设计带来困难。因为设 计稳定的高性能的高阶调制器,需要在设计过程中对调制器系统进行反复的调整 和仿真,才能得到满意的结果,然后根据该结果初步确定调制器的系数。在调制 器的系数初步确定之后,为了使得调制器系统便于硬件实现,一般需要对系数进 行调整,系数调整后的高阶调制器系统的性能很有可能达不到最初的设计要求, 这就需要对调制器系统重新进行设计,直至得到满意的信噪比和动态范围。所以, 高阶调制器系统的设计所需的工作量很大。另外,调制器的阶数越高,需要执行 的运算也越多,在硬件实现时电路的复杂程度也就越高。这些困难都给调制器阶 数的进一步提高带来了挑战。 另一种提高一d a c 性能的方法是采用多比特量化的调制器。当调制器采 用多比特量化时,一d a c 的内部d a 转换器需要多个电平,因此,其线性度 较1 比特输出大大降低,而且模拟电路部分变得相对复杂,给模拟电路的设计工 作带来了难度。采用多比特输出时,如果使用电流型d a 转换,就需要多个电流 单元,而各个电流单元之间的不匹配会造成模拟输出的误差,这是采用多比特量 化时需要解决的问题。为了解决电流单元的失配误差,通常的方案是采用数字校 正的方法。传统的数字校正的方法是将动态单元随机化,将非线性误差均匀调制 到整个频带上,这样可以消除一些非线性误差,但是这种做法必然会降低信号带 宽内的信噪比。 本文针对上述音频一d a c 中存在的主要问题,对升采样滤波器、一调 制器进行了理论分析和设计研究。作者的工作主要集中在:用3 个半带滤波器和 一个3 级c i c 滤波器的级联实现了6 4 倍过采样率的内插过程;依据一的线性 模型和s c h r e i c rr 设计的d e l t a - s i g m at o o l b o x t 4 】设计并实现了稳定的5 阶、6 4 倍、 l 比特量化的一调制器。 本文的其它章节安排如下: 第二章给出了数据转换过程中的量化噪声模型,在此基础上着重分析了 一d a c 的结构与工作原理,然后简单介绍了d a c 的常用参数指标。 第三章分析了整数倍内插的基本原理,然后逐次介绍了半带滤波器和c i c 滤 波器的工作原理及设计方法。 第四章介绍了一d a c 中的核心模块一调制器。首先给出了一阶一 调制器的线性模型,然后着重介绍了高阶一调制器的结构、设计方法、注意事 项以及设计流程,最后结合d e l t a - s i g m at o o l b o x 设计了稳定的5 阶一调制器, 并对其零、极点分布进行优化以提高信噪比。在调制器结构的选择上,选用了具 有前反馈和负反馈分支的一噪声整形( a - e n o i s e s h a p i n g l o o pu s i n gf c e d f o r w a r da n df e e d b a c kb r a n c h e s ) 结构的调制器。这种结构的调制器中涉及的运算主要 是加法和乘法。 4 d e l t a - s i g m a 数模转换器的理论与设计 第五章给出了系统的模块划分和实现,并简单介绍了基于f p g a 设计的流程。 第六章是对本文工作的总结和对未来研究的展望。 第2 章a e d a c 的原理和结构 第2 章一d a c 的原理和结构 a 一d a c 属予过采样d a 转换器( 或- a ,力统一格式,本文中一律使用 一) 。其核心思想是在噪声总功率一定的前提下,利用过采样和嗓声整形技术 将大部分噪声功率转移到高频段,使得信号频带内的噪声变的很小,从而提高了 信噪比。与传统的奈奎斯特( n y q u i s t ) 采样率d a c 相比,一d a c 具有很高的 动态范围和转换精度。本章通过对量诧噪声的分析弓| 入过采样和噪声整形技术, 随后介绍一d a c 的基本结构和工作原理;在本章的最后部分,列举了衡量d a c 性能的常用参数和指标。 2 1 量化噪声分析 量化过程是对采样信号进行幅度上的离散化,是对连续信号进行数字处理时 一个不可或缺的步骤,一个典型的均匀量化如图2 。1 a ) 所示。其中,x i n 表示输入 的无限精度的采样信号,x 印】表示量化输出的数字信号,表示量化级差。 i 酗 上 , 一 , 一 ie 憾 如 卜卜卜k i入卜入i 一 ,yyv 、 nv vv 一 一嘞 圈2 1 均匀量化过程 容易知道,当量化级差无限小( 近似予没有量化) 时,x n 卜x n 】,但显然 这是不可能的。因此,它们之闽必然存在一定的差值。将量化样本x n 】与采样真僮 缸,l 】之间的这种差值定义为量化误差,即: 棒 e n 】= x n - 缸靠】( 2 一1 ) 6 d e l t a - s i g m a 数模转换器的理论与设计 在通常情况下,量化误差可以被看作是种与信号序列完全不相关的噪声, 称之为量化噪声,它与信号的关系是加性的。由于量化过程是菲线性的,因此很 难得到个解析的方法来计算这噪声,故而人们往往借助下面的统计模型来描 述其特性【5 】: 1 ) 误差序列磋摊】是平稳随机过程的一个样本序列; 2 ) 量化误差与输入序列巾叼不相关; 3 ) 误差过程的随机变量是不相关的,也就是说,量化噪声序列具有均匀的功 率谱密度函数,是一个自噪声; 4 ) 误差过程的概率均匀分布在量化误差范围 越,2 ,a 2 】内。 上述模型在实际应用时不定符合实际情况,例如输入为直流或者方波等规 则信号的采样信号而且量化级数n 很小时,误差不能认为是线性独立的自噪声; 然而,当信号比较复杂且随机的时候,这一描述则变褥非常准确。语音和音乐信 号就是这一类信号的典型例子。对于超过8 位的精细量化的分析表明,量化阶数 越多,或是信号越复杂,e n 】与研,z 】的相关度就越低。 对于理想的均匀量化器,假设其满幅度馑为以,由予样本值被舍入到最接近 的量化电平,有: 2 q 的 频带内,滤波器的过渡带就不会对信号造成影响。 d e l t a s i g m a 数模转换器的理论与设计 再来看式( 3 5 ) 表达的半带滤波器系数的特殊性。显然,半带滤波器瞧奇数抽 头中除中心抽头以外,其余所有奇数抽头的系数都精确等于零;其次,由于半带 滤波器仍然属于f i r 滤波器的范畴,故其偶数抽头的系数两两对称。根据半带滤 波器系数的这些特性,相比一般形式的f m 滤波器,在实现半带滤波器的时候可 戬大幅度减少乘累加的次数。 综上所述,半带滤波器是一种特殊的f i r 滤波器。由于它有将近半的系数 精确为零,因此其实现滤波的运算量与同样阶数的其它f i r 滤波器相比将减少一 半。但是由于其只能实现内插因子为2 的内插,所以无法用单级半带滤波器来实 现其它倍率的内插。因此,半带滤波器通常应用于对精度要求比较高、过渡带需 求比较窄的内插中,如多级内插系统中的前级内插滤波器。 半带滤波器的设计和仿真 根据3 1 节中提出的多级内插结构,本文需要设计3 个不同指标要求的半带滤 波器,来实现8 倍的采样率提升。由于音频信号的频率范围位于0 2 0 k h z ,镜像 频带饿于2 4 。1k h z 一4 4 1 姐z 之间,因此三个半带滤波器分别实现以下功能: l ) 第一个半带滤波器将信号从4 4 1 k h z 升至8 8 2 k h z ,通带频率为0 2 0 k h z , 过渡带位于2 0 k h z 2 4 1 k h z ; 2 ) 第二个半带滤波器将信号从8 8 。2 k h z 升至1 7 6 。2 k h z ,通带不变,过渡带位 于2 0k h z 6 8 2k h z ; 3 ) 第三个半带滤波器将信号从1 7 6k h z 升至3 5 2 8k h z ,通带不变,过渡带 位于2 0k h z l5 6 。4k h z 。 为了达到信号光滑、高频镜像过滤良好豹譬的,i 基常要求通带波动尽可能小, 而隰带衰减尽可能的大。但是,过分苛刻的指标,会导致运算量和硬件规模的严 重增加。因此,根据实际工程需要,同时参考市场上同类产品的一些参数,本文 设计赡三级半带滤波器的具体设计指标汇总在表3 1 中。 表3 1 半带滤波器的设计指标 癌一化通带截止频率归一佬阻带截止频率通带波动隰带衰减 h b f l0 4 5 3 50 5 4 6 5o 0 0 4 6 5 d b h b f 20 2 2 6 70 7 7 3 30 0 0 2 7 5 d b h b f 3o 。n 3 40 。8 8 6 60 0 0 2 8 5 d b 从表中可以看到,三个滤波器的通带波动不尽相同。这是因为,如果赋予三 个半带滤波器相同的通带波动值,那么对于过渡带很窄的第一个滤波器将需要非 第3 章数字内插滤波器的设计 2 1 常高的阶数才能实现。因此,这里相对放宽第一个半带滤波器熬通带波动,焉相 对严格控制后两级的通带波动,从而有效的降低了滤波器的总阶数。采用等波纹 法( e q u i r i p p p l e ) 来设计满足表3 1 所述指标的半带滤波器,得到实现阶数分别为 9 l ,1 5 ,1 1 。各级滤波器的具体系数可参见表3 2 至表3 4 。级联后的滤波器的频 率响应如图3 6 所示。图3 7 是对图3 6 进行遥带蜀部放大的结果,如图所示,级 联后的滤波器通带波动不超过0 0 0 5 d b 。 匿3 63 缀f i b f 缓联詹鼢幅度响应 f 1 l 圈3 。73 缀h b f 级联后的幅发响应局部强 在s i m u l i n k 6 0 环境下搭建如图3 8 所示的模型对级联后的3 级半带滤波器 进行仿真。输入为两个采样率均为4 4 1 k h z 、幅度均为1 的正弦信号的叠加,信号 d e l t a - s i g m a 数模转换器的理论与设计 1 的频率为2 k h z ( 在音频范围内) ,信号2 的频率为3 0 k h z ( 超出了音频的范围) 。 为了保持信号的幅度,在每次内插后对信号进行了增益为2 的运算。观察图3 9 所 示的输入、输出波形对比,可见系统完成了对高频信号的过滤,并且平滑了有用 的输入信号。 图3 83 级h b f 仿真模型 3 2 3 半带滤波器的实现 图3 9h b f 输入输出波形对比 在用f i r 滤波器实现内插系统时,多相分解是非常有用的吲【l l 】。其基本原理是 将输出序列分解成r ( 过采样率) 组子序列的叠加,其中每一组都由原序列中间隔 r 个延迟的序列组成。多项分解结构的每一个支路中,两个抽头间相隔r 个延迟, 因此其基本延迟单元也相应的变为z 一。 在学习多相分解前,了解n o b e l 恒等式2 1 是很有必要的。对于内插系统而言, n o b e l 恒等式定义为: f ( z ) r = r f ( z r ) ( 3 6 ) 第3 鬻数字内插滤波器的设计 n o b e l 恒等式说明了,如果交换采样率扩展器和滤波器的经置,就可以得到降低了 r 次的滤波器。究其原因,是因为从内插器的结构上来说,延迟单元z 谍被放到采 样率扩展器前面后,其延时等于输入频率下的单位延迟z 。因此,在不改变系统 响应的前提下,使用了n o b e l 恒等式后的多项分解结构可以把原本工作于r 。f ( f 为输入频率) 下的滤波器改为王作在厂下。 以本文设计的第三级1 1 阶半带滤波器为例,对其进行= 支路的多相分解,其 系统框图如下图所示。 图3 1 0h b f 3 的两相支路分解结构 其冲激响应可以表示为: 日( z ) = ( 0 ) z - o + ( 2 ) z 一1 + ( 4 ) z _ 2 + ( 6 ) 孑_ 3 + h c 8 ) z 4 + h oo ) z _ 5 】 ,+ z 一1 h 0 ) z _ o + 磊( 3 ) 名一1 + 蟊( 5 ) z - 2 + 磊( 7 ) :q + 蟊( 9 ) z 一】9 7 ) 由于半带滤波器除中心抽头外的所有奇数项系数都精确等于零,所以多相结构的 奇相分支上只剩下 ( 5 ) 一个系数。因此,对于奇相分支,除了寄存器外,只需要 一个移位器就可以实现;面对予偶相分支,由于半带滤波器的偶数项系数两两对 称,所以可以将与系数相对应的输入信号先相加,然后再与系数相乘,这样使偶 相分支上的乘法器数目减少一半。最后,由于半带滤波器的输出信号的时钟频率 是输入信号的两倍,即每输入一个信号x ( n ) 就必须先后输出两个序列y ( n ) 和y ( n + 1 ) 。 根据鬻3 。1 0 所示的半带滤波器的结构可知,文慧) 和y ( n + d 是分别透过偶相和奇相分 支运算得到的,而且“n ) 和y ( n 十1 ) 是能够同时运算得到的,只是其输出是有先后顺 序的,因此只需要在输出端加个转换器进行来回切换,转换器的动作频率与输 2 4 d e l t a s i g m a 数模转换器的理论与设计 出信号的时钟频率相同,从而褥到2 倍过采样后的输出序列。 偶分 z lz - iz - 1 嚣3 1l 麟分支结构瓣半繁滤波器售号滚霆 奇分支 y n 】 观察上图,可以看到奇相分支上使用的输入信号序列与偶相分支的前半部分 相同,将其合并,因此信号流图可进一步简化为下图。 偶分 图3 1 2 简化聪的两分支结构的滩带滤波器信号流图 奇分支 y n 】 确定了结构以后,再来讨论实现滤波器时需要面对的另外一个问题,即大量 的定点常系数乘法操作。目前,比较流行的解决办法是采用c s d ( c a n o n i cs i g n e d d i g i t ) 编码【1 3 】来表示滤波器的系数。c s d 数具有如下特点f 1 4 】: 1 ) c s d 由 l ,o ,l 组成,其中l 表示1 ; 2 ) 一个c s d 数据中,没有两个连续的非零位: 3 ) 不是所有的c s d 码都能有效的降低非零量。但是在【。1 ,1 】内,相比二进制 补码的系统平均减少3 3 的非零项。 显然,采用c s d 数对滤波器系数进行编码,不但可以把定点常系数的乘法运 算转化为移位相加,而且还大幅度降低了加法( 或减法) 的运算次数【1 5 】,从而达 第3 章数字内插滤波器的设计 到了提高运算速度、降低功耗、减小面积的多重重酌。文献【l 蟋指出,在各种不同 字长下,采用c s d 数编码平均使乘法器面积减少6 5 左右。限于篇幅的原因,本 文仍然以阶数最少的第三级半带滤波器h b f 3 为例来介绍用c s d 数表示滤波器的 系数,其余两级半带滤波器系数的c s d 编码请参见表3 3 和表3 4 。具体的转换过 程是先把用十进制小数表示的系数转换成二迸制补码的形式,然后再将其转换成 对应的c s d 数,最后表示成2 的次方的形式,以利于硬件的实现。对于h b f 3 , 将其7 个系数分别进行c s d 编码的结果如下。 磊f = h ( 1 0 ) = 1 6 b 0 0 0 0 0 0 0 0 1l1 0 0 0 0 1 = 1 6 b 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 = 2 4 2 1 0 + 2 1 5 ( 3 8 ) j l ( 2 ) = ( 8 ) = 1 6 b 1 1 1 1 1 0 0 1 0 1 1 0 0 0 1 1 = 1 6 b 0 0 0 0 1 0 1 0 1 0 1 0 0 1 0 1 = 一( 2 “2 - - 6 + 2 8 + 2 一糟一2 1 3 + 2 1 5 )( 3 9 ) j | l ( 4 = 矗( 6 ) = 1 6 6 0 0 l l o l1 0 1 lll = 1 6 b 0 0 1 0 1 0 1 0 0 1 0 0 0 1 0 0 = 2 - 2 + 2 4 2 4 2 4 2 1 3 f 3 1 0 ) h ( 5 ) = 0 5 = 2 _ 1( 3 - 1 1 ) 以系数h ( o ) 为倒,采用二进制编码时,系数中包含4 个非零位,因此要对被 乘数进行3 次移位和3 次加法操作才能得到乘积输出;而采用c s d 数编码后,只 需要3 次移位和2 次加法操作即可。 事实上,还可以通过改写系数的c s d 编码的表达形式,来降低移位操作的位 数,并且可以将部分移位运算合并,使得几路运算共用部分移位器,以达到节省 硬件资源的目的。但是,如果用这种方法实现本文的设计,将会使移位操作变的 非常繁琰,而且在增加了加法运算的同时并没有达到节省资源的銎的。因此,本 文没有采用这种方法。不过,需要指出的是,在特定的情况下,这种实现方式的 确可以起到非常好的效果 3 3 1 。 表3 2h b f l 的系数 系数滤波器的理想系数滤波器系数的c s d 码 巍( h ( 9 0 ) 0 0 0 0 1 9 11 2 0 1 2 4 0 5 62 一垃一2 1 4 h ( 2 ) h ( 8 8 ) 0 0 0 0 2 4 6 4 4 4 0 3 419 3屹一1 2 h ( 4 ) h ( 8 6 ) 0 0 0 3 9 9 4 17 8 6 8 2 4 62 - 1 2 1 3 h ( 6 ) h ( 8 4 ) 0 。o 0 0 6 0 9 3 9 4 0 0 q 2 0 9- 2 一h 一2 1 3 h ( 8 ) h ( 8 2 ) o 0 0 0 8 8 9 4 2 5 6 8 0 5 5 2r 豫一2 _ 1 3 h ( 1 0 ) h ( 8 0 ) 0 0 0 1 2 5 4 2 9 6 1 6 3 7 7 3- - 2 1 0 一2 1 2 2 1 5 h ( 1 2 ) h ( 7 8 ) 0 0 0 17 2 0 6 9 7 6 2 3 7 0 l2 印一2 1 2 + 2 1 6 d e l t a s i g m a 数模转换器的理论与设计 h ( 1 4 ) h ( 7 6 ) o 。0 0 2 3 0 7 5 9 8 9 8 3 9 2 7- 2 一2 1 1 + 2 一3 h ( 1 6 ) h ( 7 4 ) o 0 0 3 0 3 6 7 8 8 4 0 3 9 3l2 - - 8 2 一约+ 2 1 3 h ( 1 8 ) h ( 7 2 ) 0 0 0 3 9 3 3 8 6 9 4 7 6 7 4 6_ 2 - 8 2 1 5 h ( 2 0 ) h ( 7 0 ) 0 0 0 5 0 2 9 5 0 18 4 18 3 22 稍+ 2 1 0 + 2 1 3 h ( 2 2 ) h ( 6 8 ) 0 0 0 6 3 6 2 4 0 0 5 5 4 7 4 5宅q + 2 毋一2 1 h ( 2 4 ) h ( 6 6 ) o 0 0 7 9 8 2 2 6 39 7 2 6 0 02 7 l 2 1 3 h ( 2 6 ) h ( 5 4 ) o 。0 0 9 9 5 7 7 2 4 8 9 8 2 0 82 一2 毋一2 1 2 上2 1 4 h ( 2 8 ) h ( 6 2 ) o 。0 1 2 3 8 6 4 3 3 6 4 2 8 5 82 巧一2 - 8 - i - 2 1 。一2 1 2 h ( 3 0 ) h ( 6 0 ) o 0 15 4 】6 8 2 8 3 6 7 8 3 9也巧+ 2 1 2 h ( 3 2 ) h ( 5 8 ) o 0 1 9 2 8 8 l1 2 4 5 1 1 7 7 42 埔山2 胡一2 1 2 h ( 3 4 ) h ( 5 6 ) o 。0 2 4 4 l3 8 3 6 6 6 9 0 8l。+ 2 一2 1 0 h ( 3 6 ) h ( 5 4 ) 0 0 3 l5 7 8 3 6 8 6 7 3 6 6 02 - 5 + 2 一2 + 2 1 4 h ( 3 8 ) h ( 5 2 ) 0 4 2 4 6 915 6 5 7 9 9 3 42 一十2 - 6 + 2 - 8 + 2 1 1 h ( 4 0 ) h ( 5 0 ) 0 0 6 1 4 8 5 7 1 2 2 0 5 6 6 22 4 2 1 0 h ( 4 2 ) h ( 4 8 ) 0 10 4 7 8 5 0 2 9 6 7 0 5 7 4_ 2 3 2 + 2 _ s + 2 一糙一2 1 2 h ( 4 4 ) h ( 4 6 ) 0 3 1 7 8 6 8 3 8 2 7 8 1 8 n2 2 + 2 - 4 + 2 7 2 母一2 一l l h ( 4 5 ) 0 52 1 袁3 3 糯f 2 的系数 系数滤波器的理想系数滤波器系数的c s d 码 魏( 9 ) h ( 1 0 0 0 3 斛3 0 3 5 0 8 3l82 镰2 一均一2 1 3 h ( 2 ) h ( 1 2 ) o ol8 7 0 8 4 6 9 0 0 2 2 312 6 + 2 磷一2 1 0 + 2 1 3 十2 - 1 5 h ( 4 ) h ( 1 0 ) 0 0 7 0 0 0 7 6 7 9 9 912 7 22 - 4 2 7 + 2 1 1 2 1 2 + 2 1 4 h 6 ) h ( 8 ) o 3 0 4 2 9 1 9 6 1 0 6 7 3 2 7 2 。2 + 2 一一2 。一2 一+ 2 一撼一2 1 5 h ( 7 ) o 52 1 袭3 4h b f 3 豹系数 系数滤波器的理想系数滤波器系数的c s d 码 h ( 0 ) h ( 1 0 ) 0 0 0 6 8 7 4 5 4 12 8 6 3 6 72 2 1 0 + 2 1 5 h ( 2 ) h ( 8 ) 0 。0 5l6 8 0 6 5 6 9 7 7 4 9 0 - 2 一+ 2 一2 一2 1 0 + 2 一u 一2 1 5 h ( 4 ) h ( 6 ) 0 2 9 4 81l4 2 7 5 5 7 7 4 22 越+ 2 4 2 一2 毋一2 - 1 3 h ( 5 ) 0 5 2 1 第3 章数字内插滤波器的设计 3 3 级联积分梳状滤波器 3 3 1c i c 滤波器的原理与结构 级联积分梳状滤波器( c a s c a d e di n t e g r a t o r - c o m bf i l t e r ,简写做c i cf i l t e r ) 由 h o g e n a u e r e b 于1 9 8 1 年提出,因此也被称作h o g e n a u e r 滤波器。它已经被证明是 在高速内插系统中非常有效的单元,常用作实现整数倍内插。c i c 滤波器的基础是 完美的零点极点抵消。对于单级c i c 滤波器,其冲激响应为【1 7 】: 坳,= 怯2 盟。 嗍 其中,l 为滤波器的内插因子,对j | l ( 咒) 做z 变换: h ( z ) = l + z 一+ z 越+ z 王。) 茹? j 0 一z 。五) 3 - 1 3 ) 下面结合c i c 滤波器的单级结构框图和系统框图分析其组成部分。 露】 x n 】 图3 1 3 单缀c i c 滤波器的结构框图和系统框圈 y n 】 y n 】 由圈可见,c i c 滤波器由三部分级联组成: 1 ) 第一部分是梳状滤波器,响应为甄( z ) = l z 一。之所以称作梳状滤波器, 可以由它的幅频特性来说明( 图3 1 4 ) 。如图所示,梳状滤波器的幅度响 应相当于把一正弦波沿横轴翻折,从而出现类似于梳子的形状。理论上, 对其零、极点分析也可以看出这一点。它的l 个零点均匀分布在单位圆上, 因此在n y q u i s t 频率的l 个等分点上将会出现幅度为零的最小值; 2 ) 第二部分是内插器,实现采样率的整数倍提升; 3 ) 第三部分是积分器,响应为臻0 ) = 1 ( 1 一j z q ) 。积分器具有低逶特性,在 这里可以提供随频率增长的单调幅度衰减。在理论上,积分器的实轴极点 抵消了梳状滤波器位于实轴和单位圆交点处的零点,使除基带以外的其它 高频分量进一步得到衰减。 2 8 d e l t a - s i g m a 数模转换器的理论与设计 翩旺l l l 躲妻| 碱臻 一一 阑3 1 4 梳状滤波器的幅频特性 c i c 滤波器的幅频响应可由式( 3 1 2 ) 求嫩: 徘夸即碘鬻 露 = l s a ( c o l 2 ) s a ( c o 2 )( 3 1 4 ) 式书,s a ( x ) = s i n ( x ) x 是采样函数,s a ( o ) = l ,所以c i c 滤波器在零频处的幅度 为l ,且随着频率的升高,旁瓣电平不断减小邮】,如图3 1 5 所示: 沙附一 圈3 1 5c i c 滤波器的幅频特性 c i c 滤波器的优点在于结构篱单,不需要乘法器,也不需要电路来存储系数, 只需加法器和减法器就可以实现;此外,它可以实现内插因子高于2 的整数倍内 插。但是,c i c 滤波器的缺陷也比较明显,比如通带内衰减过大,过渡带宽,阻带 衰减不足等。因此,与半带滤波器相比,c i c 滤

温馨提示

  • 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
  • 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
  • 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
  • 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
  • 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
  • 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
  • 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。

评论

0/150

提交评论