




已阅读5页,还剩11页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
交通灯控制器设计报告 一设计目的.(1) 初步学习,运用VHDL(Verilog)语言编写模块程序。(2) 巩固课堂所学的组合逻辑电路,时序逻辑电路及其有关的应用,如计数器,分频器等。(3) 提高实践及运用意识。二设计任务及要求.利用EDA/SOPC实验开发平台提供的八位七段管码显示模块以及EP2C35核心板,实现交通灯信号控制器。设交通灯信号控制器用于主干道公路的交叉路口,要求是优先保证主干道的畅通,因此,平时处于“主干道绿灯,支道红灯”状态。(1)当处于“主干道绿灯,支道红灯”状态: 主干道有车要求通行,支道也有车要求通行时,若主干道通行时间大于等于30秒则切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。 主干道无车要求通行,支道有车要求通行时,立即切换到“主黄,支红”,4秒后自动切换到“主红,支绿”。其它情况保持“主绿,支红”状态。(2)当处于“主干道红灯,支道绿灯”状态: 支道有车要求通行时,保持“主红,支绿”状态,但最多保持30秒然后自动切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态。 支道无车要求通行时,立即切换到“主红,支黄”状态,4秒后自动切换到“主绿,支红”状态。(3)利用八位七段管码显示模块其中的2位实现时间显示。(4)扩展要求:自主设计(如改变时间显示方式,丰富控制逻辑等)三设计原理及方案.1.总体设计框图 2.原理概述:(1)由于主干道,支干道的交通灯均在绿,黄,红三种状态之间有顺序的转换,组合共有四种。所以,利用状态机按照设定的条件实现“主绿,支红” 、“主黄,支红” 、“主红,支绿”、“主红,支黄”4种状态之间的切换。(2)因为红黄绿灯之间转换有时间限制,所以要有计数器,可用七段数码管显示模块,由于时间是两位数,所以只需要八位七段管码显示模块其中的2位实现时间显示。(3)由于实验室提供的基准频率为50MHZ,所以得用分频器得到所需要的频率。 四电路设计及实现.(1)各模块设计程序及模块图:1.1计数器:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY counter ISPORT (clk:IN STD_LOGIC; reset:in std_logic;图1 计数器 countNum:BuFFeR INTEGER RANGE 0 TO 64); END;ARCHITECTURE behavior OF counter ISBEGINprocess(reset,Clk)BEGINIF Reset=1 THEN countNum=0;ELSIF rising_edge(Clk) THEN IF countNum=64 THEN countNum=0; ELSE countNumsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutsegoutnull;END CASE;END PROCESS;END;LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;ENTITY dtsm ISPORT(clk:in STD_LOGIC; NumA,NumB: in STD_LOGIC_VECTOR(3 downto 0); segout1:out STD_LOGIC_VECTOR(6 downto 0); led_sel:out STD_LOGIC_VECTOR(2 downto 0);END dtsm;architecture bhv of dtsm iscomponent bcd_data isport (bcd_data:in STD_LOGIC_VECTOR(3 downto 0); segout:out STD_LOGIC_VECTOR(6 downto 0);end component; signal x:STD_LOGIC_VECTOR(3 downto 0); signal Q:STD_LOGIC;beginp1:process(clk)beginif clkevent and clk =1 then if Q=1 THEN Q=0; ELSE Qled_sel=010;xled_sel=001;xnull;end case;end process;u1:bcd_data PORT map(bcd_data=x,segout=segout1);图3 分频器1end ; 3. 分频到512Hz程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all; entity fenpin123 isport (clk: in std_logic; clkfen: out std_logic); end fenpin123; architecture fenpin of fenpin123 issignal clk_mid: std_logic;begin process(clk) variable data:integer range 0 to 48828;-将基准信号分频到512Hz begin if clkevent and clk=1 then if data=48828 then data:=0; clk_mid=not clk_mid; else data:=data+1; end if; end if; clkfen=clk_mid;end process;end fenpin;4. 分频到1Hz程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fenpin isport( clk:in std_logic; finout:out std_logic);end fenpin;architecture bhv of fenpin issignal tmp:INTEGER RANGE 0 TO 49999999;图4 分频器begin process(clk)beginif clkevent and clk=1 then if tmp=49999999 then tmp=00000000; else tmp=tmp+1; end if; if tmp25000000 then finout=0; else finout=30 THENNumA=3;NumB=20 THEN NumA=2;NumB=10 THENNumA=1;NumB=Numin-10;ELSENumA=0;NumB MR=0;MY=0;MG=1;-主绿支红 BR=1;BY=0;BG=0; RESET=0; IF (SB AND SM)=1 THEN IF CountNum=29 THEN Num=30-CountNum; ELSE STATE=B;RESET=1; END IF; END IF; IF (SB AND(NOT SM)=1 THEN STATE=B;RESET MR=0;MY=1;MG=0;-主黄支红 BR=1;BY=0;BG=0; RESET=0; IF CountNum=3 THEN Num=4-CountNum; ELSE STATE=C;RESET MR=1;MY=0;MG=0;-主红支绿 BR=0;BY=0;BG=1; RESET=0;图6 交通灯主模块 IF (SB AND SM)=1 THEN IF CountNum=29 THEN Num=30-CountNum; ELSE STATE=D;RESET=1; END IF; END IF; IF SB=0 THEN STATE=D;RESET MR=1;MY=0;MG=0;-主红支黄 BR=0;BY=1;BG=0; RESET=0; IF CountNum=3 THEN Num=4-CountNum; ELSE STATE=A;RESETSTATE=A; END CASE; END IF; END PROCESS CNT;END BEHAV;(2)总体原理图: (3)管脚对应表信号名称对应FPGA管脚名说明50MHzL1基准时钟AG6七段数码管aBG7七段数码管bCH3七段数码管cDH4七段数码管dEH5七段数码管eFH6七段数码管fGJ4七段数码管gSEL0G5数码管选择控制端0SEL1G3数码管选择控制端1SEL2F4数码管选择控制端2R1D3主干道红灯Y1D4主干道黄灯G1D5主干道绿灯R2E3支道红灯Y2E4支道黄灯G2F3支道绿灯K1E15主干道通车请求开关K2B14支道通车请求开关 五分析与讨论.在实验室先后下载三次,第一次时灯亮但数码管显示有问题,经陈老师建议,重新检查管脚,发现有一个未设置,导致出错。第二次调试还是失败,显示器数字显示不对,我就把程序检查了一遍,重新根据管脚,结合数码管为共阴极写数码管程序。第三次成功了。成功后的设计电路达到了要求,灯管按照车辆请求和时间有规律的变化,显示管显示出时间。在设计有很强的实践意义,可以安装到乡间公路和城市公路的十字路口,稍加改进就可以是主干道通车时间长,符合实际,可以更有效的指导交通,保证交通秩序和安全。六设计总结. 通过为期一周的课程设计,我应用所学数字电子技术和自学的VHDL语言顺利得完成了交通灯控制器的设
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 浸渍干燥工协同作业考核试卷及答案
- 2025年陕西省苹果购销合同
- 饲料加工中控工设备调试考核试卷及答案
- 烟草栽培技术员专项考核试卷及答案
- 期货从业投资分析考试及答案解析
- 贵金属首饰检验员技能操作考核试卷及答案
- 高纯试剂工基础知识考核试卷及答案
- 2025年店铺转让合同样本
- 2025年合同续签的个人总结
- 2025年银行从业资格证考试真题及答案
- 2025面向机器学习的数据标注规范
- YY/T 0339-2024呼吸道用吸引导管
- 围手术期高血压专家管理共识
- 外科患者疼痛护理与管理
- 租金延迟缴纳申请书
- DL-T 2563-2022 分布式能源自动发电控制与自动电压控制系统测试技术规范
- 食堂工作人员培训内容
- 骨折康复护理的常见问题和处理方法
- 泛影葡胺在消化道造影中的应用
- 2022年11月四川省凉山州中级人民法院逐级遴选4名法官笔试题库含答案解析
- 两江三镇说武汉智慧树知到答案章节测试2023年武汉纺织大学
评论
0/150
提交评论