




已阅读5页,还剩7页未读, 继续免费阅读
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
实验报告题 目:CMI码编码译码实验报告组 员通信901 李虹毅通信901 潘凯波通信901 韦 磊组 号A112012年 2 月 浙江工业大学之江学院实验报告 目 录一 概述11.1 CMI码的简介11. 2 CMI码的优点1二 实验原理12.1 编码原理122.2 译码原理2三 实验设计步骤(含程序及仿真图、测试图等)33.1 实验模块程序33.2 综合电路图83.3 仿真波形8四 硬件调试下载8五 实验总结和心得体会99浙江工业大学之江学院毕业设计(论文) 一 概述1.1 CMI码的简介1、CMI码是传号反转码的简称,它是一种应用于PCM四次群和光纤传输系统中的常用线路码型,具有码变换设备简单、有较多的电平跃变,含有丰富的定时信息,便于时钟提取,有一定的纠错能力等优点。在高次脉冲编码调制终端设备中广泛应用作接口码型,在速率低于8 448 Kb/s的光纤数字传输系统中也被建议作为线路传输码型。在CMI编码中,输入码字0直接输出01码型,较为简单。对于输入为1的码字,其输出CMI码字存在两种结果00或11码,因而对输入1的状态必须记忆。同时,编码后的速率增加一倍,因而整形输出必须有2倍的输入码流时钟。在CMI解码端,存在同步和不同步两种状态,因而需进行同步。同步过程的设计可根据码字的状态进行:因为在输入码字中不存在10码型,如果出现10码,则必须调整同步状态。在该功能模块中,可以观测到CMI在译码过程中的同步过程。1. 2 CMI码的优点 1、不存在直流分量,并且具有很强的时钟分量,有利于在接收端对时钟信号进行恢复; 2、具有检错能力,这是因为1码用00或11表示,而0码用01码表示,因而CMI码流中不存在10码,且无00与11码组连续出现,这个特点可用于检测CMI的部分错码。二 实验原理2.1 编码原理编码流程框图:CMI编码规则见表4.2.1所示: 因而在CMI编码中,输入码字0直接输出01码型,较为简单。对于输入为1的码字,其输出CMI码字存在两种结果00或11码,因而对输入1的状态必须记忆。两路并行输出经过并串转换模块输出CMI码。同时,编码后的速率增加一倍,因而整形输出必须有2倍的输入码流时钟。2.2 译码原理译码流程框图:CMI码的译码规则采用的是两个码元或运算的原理。译码模块并行输入经过或运算等到原来的码型。相应的规则与编码相反11或00对应1,01对应0。三 实验设计步骤(含程序及仿真图、测试图等)3.1 实验模块程序 分频器 由于硬件设备实验输出的50MHz输出数字示波器进行分频,当5000分频的时候效果最好。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xx isport(clk:in std_logic; clkout:buffer std_logic);end xx;architecture behav of xx isconstant m:integer:=2499;beginprocess(clk)variable n:integer range 0 to m:=0;beginif clkevent and clk=1thenif nm thenn:=n+1;elsen:=0;clkout=not clkout;end if;end if;end process;end behav;占空比为50%的二分频:library ieee;USE ieee.std_logic_1164.ALL;entity dd isport( clkin: in std_logic; div2 : out std_logic);end dd;architecture kk of dd issignal clk:std_logic;beginprocess(clkin) begin if rising_edge(clkin) then clk=not clk; end if ;end process;div2=clk;end kk;七位的m序列library ieee;use ieee.std_logic_1164.all;entity m_7 IS port (clk:in std_logic; m:out_std_logic);end m_7;architecture behav OF m_7 ISconstant m_7:std_logic_vector(0 TO 6):=1110010;signal n:integer range 0 to 6;begin process(clk)begin If clk event and clk=1 then m=m_7(n); if n=6 then n=0; else n=n+1; end if; end if; end process;end behav;编码模块library ieee;use ieee.std_logic_1164.all;entity ee is port(clk:in std_logic; code_in:in std_logic; code_out:out std_logic_vector(1 downto 0);end ee;architecture bhv of ee is signal flag:std_logic;begin process(clk,code_in) begin if clkevent and clk=1 then if code_in=1 and flag=1 then code_out=00; flag=0; elsif code_in=1 and flag=0 then code_out=11; flag=1; else code_out=01; end if; end if; end process;end bhv;并串模块library ieee;use ieee.std_logic_1164.all;entity p isport(clk,load_n: in std_logic; p_in:in std_logic_vector(1 downto 0); s_out:out std_logic); end p;architecture beh of p is signal s:std_logic; begin process(clk,load_n) begin if load_n=0 then s=p_in( 0); s_out=p_in(1); elsif(clkevent and clk=1)then s_out=s; end if; end process; end beh;译码模块library ieee;use ieee.std_logic_1164.all;entity ym is port(clk:in std_logic; code_in:in std_logic_vector(1 downto 0); code_out:out std_logic);end ym;architecture bhv of ym isbegin process(clk,code_in) begin if clkevent and clk=1 then if code_in=00 or code_in=11 then code_out=1; else code_out=0; end if; end if; end process;end bhv;3.2 综合电路图综合图3.3 仿真波形 clk:时钟输入 clk2:占空比为50%的二分频 cmi:并行输出 cmi_out:cmi码输出 m: m序列“0001101”输入 m2:cmi码译码输出四 硬件调试下载1. 硬件电路下载配置Quartus 软件,cyclone EP1C20F400C8 芯片,示波器一台。2. 示波器测试图CH1:译码输出 CH2:编码输出3. 实验结果分析 综合电路图包括编码、译码输出。把m序列送到编码输入,经过编码送到并行送入译码,输出波形于m序列一致。当输入的m序列为“0001101”时编码输出“01010100110100”译码输出“0001101”,所以实验结果符合cmi码的编码和译码规则。五 实验总结和心得体会1. 实验总结 本次试验重点在与编码程序的设计在CMI编码中,输入码字0直接输出01码型,较为简单。对于输入为1的码字,其输出CMI码字存在两种结果00或11码,因而对输入1的状态必须记忆。同时,编码后的速率增加一倍,因而整形输出必须有2倍的输入码流时钟。译码和编码是相反的。当速率增加一倍时有01出现译为0,不可能出现10这种情况,出现时就要对误码进行处理。当出现11或00时译出1,当不是11或00交替出现时也需要进一步处理。CMI码有较多的电平跃变,含有丰富的定时信息。本次实验遇到的问题:a. 在书上参考来的并串程序有一个上拉成高位当遇到01是会输出11导致编码错误,后来经过程序的修改得到了正确的结果。b. 在做分频编程的时候,用直接分频,仿真后出现占空比不是50%,从而导致M序列产生的编码不正确,后来用偶数分频,M序列编码正确。c. 在下载调试过程中输入未经分频的时钟输出结果示波器无法显示,时钟经过2500分频在示波器上得到了最好的波形但是经过分频后的时钟在实验室的电脑上无法仿真(显示为一条直线),分析原因为电脑上给的时钟信号小经过分频太大无法显示。d. 在做CMI编码模块的时候出现两个输出M0和M1,而CMI要一个输出。从而引进并串程序,在做并串程序的时候,出现编程模块多出现一个变量导致最后示波器上显得波形出现误差,后来经过改进,删减并串程序,使输出结果正确。2. 心得体会 对于通信大型课程设计来说,我
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- 唐宋建筑改造方案设计理念
- 建筑方案设计通过率
- 电动分割幕安装施工方案
- 住建部施工方案编制模板
- 咨询方案汇报表达
- 清明节茶叶营销方案主题
- 护理职业教育录播课大纲
- 团委外出活动策划方案
- 校园防性侵安全教育教案
- 自动喂食器营销策划方案
- 故障分析实验报告
- 行为生活方式与健康智慧树知到期末考试答案章节答案2024年杭州师范大学
- JTS-165-6-2008滚装码头设计规范-PDF解密
- 铸造企业安全生产标准化管理体系方案资料汇编(2022-2023新标准实施模板)
- 设备维修与保养(课件)
- 浅谈国内外深基坑支护技术的现状及进展
- 网络舆情应对及处置
- 工业数据采集技术及应用 -配置能源采集仪表参数
- 《应急救援知识》课件
- 【一例重症肺炎的个案护理案例报告6000字(论文)】
- 电梯使用维护说明书
评论
0/150
提交评论