



免费预览已结束,剩余1页可下载查看
下载本文档
版权说明:本文档由用户提供并上传,收益归属内容提供方,若内容存在侵权,请进行举报或认领
文档简介
深 圳 大 学 实 验 报 告 课程名称: VHDL数字电路设计(EDA) 实验项目名称: 带7段数码显示的模100计数器 学院: 信息工程学院 专业: 电子信息工程 指导教师: 报告人: 学号:2011130150 班级:班 实验时间: 实验报告提交时间: 教务部制实验目的与内容:目的:学会运用case语句内容:设计一个带7段数码管显示的模100计数器方法、步骤:1. 打开ISE,新建一个project和一个new sourceVHDL module.2. 编写代码。3. 代码没有出错,进入仿真。4. 建立test bench,修改test bench的内容,查看仿真实验过程及内容:1、 静态数码显示计数 代码如下:- Company: - Engineer: - - Create Date: 10:28:02 05/23/2013 - Design Name: - Module Name: chenzhen - Behavioral - Project Name: - Target Devices: - Tool versions: - Description: - Dependencies: - Revision: - Revision 0.01 - File Created- Additional Comments: -library IEEE;use IEEE.STD_LOGIC_1164.ALL;- Uncomment the following library declaration if using- arithmetic functions with Signed or Unsigned values-use IEEE.NUMERIC_STD.ALL;- Uncomment the following library declaration if instantiating- any Xilinx primitives in this code.-library UNISIM;-use UNISIM.VComponents.all;entity shuma isport(clk,reset:in std_logic; ssd1,ssd2:out std_logic_vector(6 downto 0) );end shuma;architecture Behavioral of shuma isbeginprocess(clk,reset)variable count:integer ;variable temp1:integer ;variable temp2:integer ;beginif(reset=1)thenssd1=0000000;ssd2=0000000;count:=0;temp1:=0;temp2:=0;ssd1=1111110;ssd2 ssd1 ssd1 ssd1 ssd1 ssd1 ssd1 ssd1 ssd1 ssd1 ssd1null;end case;case temp2 iswhen 0 = ssd2 ssd2 ssd2 ssd2 ssd2 ssd2 ssd2 ssd2 ssd2 ssd2null;end case;end process;end Behavioral;对test bench作了如下修改:仿真图如下:综合如图:实验结论:这个代码是我自己写的,我事先不知道书本上有这段代码存在。今次提交的实验报告只含有静态显示部分,动态扫描部分并没有做,如果后面有空闲时间,我会完成这一部分的,到时候再提交给您。最近忙得我都忘了提交报告了,不好意思,迟交了。指导教师批阅意见:成绩评定:
温馨提示
- 1. 本站所有资源如无特殊说明,都需要本地电脑安装OFFICE2007和PDF阅读器。图纸软件为CAD,CAXA,PROE,UG,SolidWorks等.压缩文件请下载最新的WinRAR软件解压。
- 2. 本站的文档不包含任何第三方提供的附件图纸等,如果需要附件,请联系上传者。文件的所有权益归上传用户所有。
- 3. 本站RAR压缩包中若带图纸,网页内容里面会有图纸预览,若没有图纸预览就没有图纸。
- 4. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
- 5. 人人文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对用户上传分享的文档内容本身不做任何修改或编辑,并不能对任何下载内容负责。
- 6. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
- 7. 本站不保证下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
最新文档
- (正式版)DB15∕T 2602-2022 《苜蓿中咪草烟残留量的测定 高效液相色谱法》
- 青年视角下的新质生产力宣传
- 安全监管培训结束语课件
- 山西新质生产力经验简报
- 基于2025年工业大数据平台的工业互联网发展趋势报告
- 老年院消防安全培训课件
- 物业管理公司合同付款管理办法
- 老年护理课件
- 基于2025年农业政策导向的无土栽培蔬菜产业化项目可行性分析
- 基于2025年公共卫生应急的资金申请方案研究报告
- 走心!学校庆祝第41个教师节暨表彰大会校长高水平致辞
- 《特殊健康状态儿童预防接种评估门诊与转诊系统建设规范》
- 2107北印复习05课件选题策划报告
- 2023年二级保密资格评分标准具体操作方法
- 商户收单业务培训
- 无机及分析化学课件(第四版)第一章学习资料
- 26个英文字母书写动态演示课件
- 电路学课件:1-6 电压源和电流源
- 区妇联家庭教育工作的调研报告
- 劳保用品发放表格及管理
- 江苏省盐城市各县区乡镇行政村村庄村名居民村民委员会明细
评论
0/150
提交评论